• 1.05 MB
  • 2022-04-22 13:43:13 发布

基于单片机的电话远程控制家电系统-毕业设计.doc

  • 77页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'基于单片机的电话远程控制系统基于单片机的电话远程控制系统摘要:随着通讯产业的迅速发展,电话机已经走进了千家万户,但是利用电话机进行远程控制的技术却没有多少实质性的进展.如何将电话远程控制用于日常生活中正是本文所要研究的课题,众所周知,近几年通信和电子信息技术行业有了长足发展,本文设计了一种电话远程控制系统,该系统以AT89C2051单片机和MT8870双音多频解码集成电路为核心,借助公共电话网络,通过电话实现对远程设备智能化控制。文章介绍了系统的组成、工作原理及程序设计方法。对“振铃检测、模拟摘挂机控制、双音频解码,语音提示及家用电器控制”等电路作了详细的说明。用户在户外可通过任意一部双音多频电话(包括手机、电话分机),根据语音提示,可以对各种电器(如电饭锅、微波炉等电器)进行远程控制。本装置适用于家庭、企事业单位、商店等场所,操作简单方便,系统性能可靠,是未来很有发展前景的科技产品.关键词:AT89C51单片机;双音多频DTMF解码电路;振铃检测;摘挂机控制 Abstract:Asthedevelopmentofcommunication,telephoneiswidelyusedallovertheworld.Thisisourmotivethathowtomakethelong-distancecontrolsystembasedontelephonenetworkusedinourdailylife.Asweallknowthatthereisagreatdevelopmentincommunicationandelectricaltechnology.Inthisarticlealong-distancecontrolsystembasedontelephonenetworkisdesigned.Itcanalsobecalledintelligencecontrolsystem.Dependonthenetworkoftelephone,somefunctionsofthelong-distancecontrolsystembecometrue.Inthisarticlethecomposeofthecontrolsystemandtheprincipleaboutthelong-distancecontrolsystemareintroduced.Tomeettheneedsoflong-distanceandmanagementofautomationindustryequipmentsbasedonnetworkofcommunication.Thesystemaboutlong-distancecontrolmodelanditsarchitecturewereputforward,andoperationprinciplesofthesystemwerediscussedindetail.Keywords:Intelligence;Controller;Communication;Long-distance 1绪论21世纪是信息时代,各种电信新技术推动了人类文明的进步。自从1876年,AlexanderGrahamBell(贝尔)发明电话以来,世界各国的电话网络发展非常迅速。进十年来,中国的固定电话业务呈现出举世瞩目的快速增长。1997年8月局用电话交换机总容量突破1亿门,网络规模跃居世界第二位,1999年7月固定电话用户总数突破1亿户。现代电话网络是由交换机和电话传输线共同组成,它的性能已经有了很大的进展,而且可靠性非常高。遥控技术是通过一定的手段对被控物体实施一定距离的控制,常用的方式有无线电遥控、有线遥控、红外线和超声波遥控等。无线电遥控既是利用无线电信号对被控物体实施远距离控制。无线电遥控不可避免的须占用一定的无线电频率资源,造成电磁污染;常规的有线遥控需进行专门的布线,增加了投入;而红外线、超声波遥控则受距离所限。现有的遥控方式中,还有载波通信控制手段和基于无线寻呼的遥控方式。载波方式即通过电力线传递信息,该方式只能局限于同一变电所、同一变压器所辖范围内。因此也存在距离问题,应用范围有限。基于无线寻呼的遥控方式利用了现有的寻呼频率资源,不需占用额外的频谱。而且,随着寻呼网的全国联网,其遥控的距离基本不受限制。但该方式的受控方动作滞后于控制方的操作,不具备实时性,而且不具备很高的可靠性。电话遥控作为一较新的课题与常规的遥控方式相比,显示出一定的优越性,不需进行专门的布线,不占用无线电频率资源,避免了电磁污染。同时,由于电话线路各地联网,可以充分利用现有的电话网,因此遥控距离可跨省市,甚至跨越国家。 电话属双工通信手段。因此,这可以大大体现出利用电话进行遥控的更大优越性。操作者可以通过各种提示音即时了解受控对象的有关信息,从而进行进一步的操作。电话遥控这一课题目前已有涉足者,但是只是还只限于实验室阶段,因而距离实际应用,尤其是对于日常生活尚有一定的差距,并不能完全体现出电话遥控方式的双工通信特点。本次设计正是针对这一点进行了较大改进,采取单片机智能控制,利用不同的提示音达到对于不同操作的提示及对受控方状态的信息反馈,从而使操作者能够及时了解受控方信息,使产品达到交互式与智能化。本设计的各种电器接口、各项标准都严格遵循国家有关标准,为以后的产品化提供了良好的基础。设计为突出电话遥控的信息反馈功能,并使产品达到非常高性价比。故未对电话装置的其他功能进行进一步的扩展,而且所有使用的集成电路和其它元器件都尽量选择廉价的。在该作品的基础上进行了功能扩展是很方便的。譬如:使用语音芯片〈音乐片〉作为信号音反馈,提高本设计的实用性。随着社会的发展和人们生活水平的提高,越来越多的家用电器进入了百姓的生活,给大家带来了很多的方便和享受,同时随着电话在家庭中的普及,利用电话实现家用电器遥控是未来的发展方向。遥控装置是电子爱好者热衷开发的产品,现在市场上的遥控装置采用红外线、超声波、动力载波、无线遥控及无线寻呼等方式。勿容置疑,这些装置为满足工农业生产和人民生活的需要,带来了便利。然而采用红外线、超声波方式的遥控装置,遥控距离在10m以内;载波方式是通过电力线传递信息,该方式仅适用于同一变压器所辖范围;采用无线遥控,一方面,不可避免占用无线频率资源,造成电磁污染,另一方面也存在遥控距离的问题。基于无线寻呼的遥控方式利用了现有的寻呼频率资源,虽然遥控距离不受限制,但可靠性差,如处于信号弱区域内的通信设备,就无法实现控制。长期以来,困扰遥控装置发展的关键问题,是如何实现远程电器设备可靠控制。基于单片机的智能电话控制系统,借助公共电话网络,操作者可在语音提示下实现对远程设备的可靠控制。该装置具有可靠性高,不受传输距离限制,不占用频率资源等特点。适用于家庭、企事业单位、工业现场、商店等场所,利用手机或固定电话就能实现电器设备的控制和运行状态查询.电话遥控和常规的遥控方式相比,不需进行专门的布线,不占用无线电频率资源,避免了电磁污染,而且由于电话线路各地联网,可以充分利用现有的电话网。本文介绍了一种基于电话和单片机的家用电器遥控装置。利用该装置,用户可以通过任意一部双音频电话随时开关、检查家里电器的使用情况,而且当用户要下班时,还可以通过电话,提前打开空调、电饭锅等。2系统设计原理2.1硬件功能分析系统设计可行性分析根据电话远程控制系统的具体设计要求该系统必须满足以下功能:⑴通过电话网对异地的电器实现控制(开/关);⑵控制器可以实现自动模拟摘挂机; ⑶控制器设置密码校验;系统必须具有以下单元功能模块:⑴铃音检测、计数;⑵自动摘挂机;⑶密码校验;⑷双音频信号解码;⑸输入信息分析;⑹控制电器开关;⑺电器状态查询;⑻忙音检测;本设计以89C2051单片机为控制中心,进行主要的信息处理,接收外部操作指令形成各种控制信号,并完成对于各种信息的记录;接口电路提供单片机与电话外线的接口。其中包括振铃检测电路,摘挂机控制电路,双音频DTMF识别电路,以及家电的控制电路等部分组成。本装置使用普通电话机发出遥控命令信号,以DTMF信号作为运载遥控命令的媒体,而无需专门安装遥控发送装置,利用电话网络传送遥控命令或其他数字信息时,只需把接收装置安装在任何一个电话用户线的终端,就可以接收任何地方〈只要电话能通达的地方〉的遥控操作或其他数字信息,接收装置就像一部普通电话机,传真机或其他电话用户终端设备一样被使用,因此不受距离的局限。本装置并联于电话机的两端,不会影响到电话机的正常使用。用户通过异地的电话机拨通本装置所连接外线的电话号码,通过市局交换机向电话机发出振铃信号。本装置如果检测到振铃八次,即八次响铃后无人接,自动摘机,进入密码检测,输入正确后选择被控制电器,然后输入开或关进行遥控电器,完成后返回。系统基本工作原理是:系统上电,单片机复位,系统开始工作,当电话线路中有振铃信号时,振铃检测电路将产生占空比为1:4的方波,方波从89c2051单片机的外部计数端输入,系统在程序控制下进行计数,当振铃次数为8次时约40秒若没有人接电话,表明没有人在场,系统一方面,启动语音提示电路,发出“尊敬的用户,您好!欢迎您使用智能电话控制系统,请输入用户密码,并以‘#’字确认”;另一方面,发出自动摘机控制信号,外线经摘挂机控制的开关电路与DTMF译码电路接通,译码电路将对外线传递的信号进行译码,译码信号以四位二进制形式输出。经译码输出的四位二进制数从单片机的I/O口输入,单片机对读入的二进制数与预置的密码进行比较,如果所拨的密码与预置的相同,则发出“请输入操作码,并按#字键加以确认”的提示音,如果所拨的密码与预置的不同,则发出“ 对不起,你拨的密码不正确,请核对密码后重新输入,挂机请按‘*’字键确认”的提示音。系统只有接到正确的用户密码才具有操作权。以上操作即实行“模拟提机”接通电话。操作者在主叫话机键盘上输入4位密码,若密码输入正确,会在听筒里听到约2秒的音乐声。按入遥控命令,按“1”,“#”表示第一路开,按“1”,“*”表示第一路关......依次类推,共可操作5路设备,每个操作命令结束时均能听到约1秒的音乐声作为确认信号。操作完毕按入“0”,接收电路收到“0”这一信息后即“挂断”电话。如果有人在默认的振铃次数之前接听电话,则不进入电话遥控状态,因此不影响电话的正常通话使用。系统设计中的关键技术。在整个系统功能的实现中,利用DTMF解码器对用户通过电话输入的DTMF号码进行检测是系统功能实现的关键。MT8870应该能及时并准确地检测到电话线传入的DTMF信号,并以中断方式通知CPU接收其检测到的DTMF号码;而MT8870能否及时检测到DTMF信号并正确译码出该信号对应的主叫号码,与LE78D11的初始化密切相关。在初始化时需要特别注意如下几个方面:(1)设置参数要根据系统情况精确计算确定,尤其输入信号增益参数调整不能让DTMF信号饱和失真,否则会导致MT8870对DTMF音检测译码出错;(2)MT8870的时钟频率寄存器应在芯片上电后第一个进行设置。确保MT8870能及时检测系统时钟与8kHz的帧同步信号的同步情况并给出指示;(3)在初始化最后要启动模拟通道校准功能并确保校准完成;(4)MT8870在进行DTMF音的检测译码时,语音通道连通并都处于激活状态,向单片机发出中断请求,单片机响应中断,接收来电信息。根据电话通信信令,在电话通信过程中,使用某些特定频率音的不同断续组合来指示通信进程。为了判定当前处于何种进程状态,需要及时识别出拨号音、忙音、回铃音、空号音以及其他电信信令规定的进程音。为了简化系统结构,节约硬件成本,提高信号音检测的准确性与灵敏度,本系统不采用通常的信号音检测硬件模块进行检测,而是采用软件实现上述信号音的智能检测。由于上述信号音的频率都是450Hz,仅断续时长不一样,据此,采用电路把信号音整理成方波脉冲串,固定时问间隔(例如1ms)检测输入管脚上脉冲信号,当脉冲信号出现后,立即开始在一定时长内(例如1.2s)分若干时间片(例如0.1s)计数每个时间片内出现的脉冲个数。通过分析比较给定时长内各个时间片的脉冲个数分布情况,可以判别出信号音的类型。系统原理框图如下图所示:电话接口家用电器控制单片机语音放大电路振铃检测电路 自动摘挂机电路双音频解码电路图1系统原理框图2.2软件模块分析经过比较,我决定使用AT89C2051作为控制的单片机芯片,具体有关AT89C2051的介绍不在这里累述,其详细资料请参阅本设计的硬件电路设计部分。软件部分的设计由以下几个模块构成。(1)信号音计数。本单元可以使用AT89C51的两个计数器的外部中断方式来实现对不同信号音的计数。(2)密码检测。本单元可以在系统初始化的时候,在单片机内部的存储器的内部开辟一块空间放置密码。当用户输入密码的时候,单片机把输入的密码写入另外的一块空间,然后利用减法运算比较两者是否相等。这样就可以实现密码检测的功能。(3)信号分析处理。本单元可以利用查表方式,也可以用简单的语句,稍微长一点的语句实现。以上部分是对系统设计过程和设计原理的简单叙述。详细部分将在下面的设计中具体介绍。经过翻阅大量的技术资料,对具体要求实现的功能进行完整的系统分析,我认为我的电话遥控系统设计基本符合实际情况,可以完成设计任务所要求实现的基本功能。3系统硬件电路设计3.1振铃检测电路3.1.1电路工作原理 振铃检测电路由光耦LE以及门电路G4等元件组成。电话线路没有铃流时,电话交换机提供的线路电压为48V-60V的直流信号。当用户呼叫时,电话交换机发来振铃信号,89C2051单片机驱动摘挂机控制开关电路,DTMF信号译码电路,铃流检测电路和语音提示电路等电路设备。此时光耦LE的发光二极管导通,使光敏晶体管导通,于是+5V电源通过1K电阻和二极管向100uF电容充电。当电容上电压充到开门电平时,与门G4输出高电平并由AT89C2051的P3.5检测,每振铃一次,门G1输出一次高电平即一个正脉冲。振铃信号为25±3V的正弦波,电压有效值为90±15V,振铃以5s为周期,即1s送4s断。正脉冲信号可以直接输出至单片机的中断计数器输入口,完成整个振铃音检测和计数的过程。3.1.2电路图设计根据振铃信号的特征,设计振铃检测电路如图2所示。图2振铃检测电路3.2摘挂机控制电路3.2.1电路工作原理AT89C2051首先从P3.5检测与门G4的输出,G4每输出一个正脉冲,电话振铃一声;P3.5必须检测到8个正脉冲信号时,才从P1.1送出低电平使三极管T7导通,于是继电器JK吸合使两对常开触点JKa和JKb闭合,并使500欧电阻〈与小音频变压器绕组串联〉被接入电话线,实现了“模拟提机”。然后P3.2等待DTMF解码器STD端正脉冲的到来,一旦识别到STD端的正脉冲,P3.0-P3.4即读入DTMF解码器的输出的二进制码信息,这个信息就是遥控命令,AT89C2051能对其进行判别---究竟是密码还是控制某路开,关的命令,或是挂机命令。挂机命令的执行信号是从P1.1输出的,当P1.1=1时,T7截止,继电器释放,即实现了“模拟挂机” 。而控制受控对象动作的信号是从P1.3-P1.7共5路输出的,例如若P1.3=1能使T1导通,继电器J1吸合;若P1.3=0,则J1释放......若P1.7=1,则能使T5导通,继电器J5吸合;若P1.7=0,则J5释放。但由图中可知,P1.3并没有直接接到T1......P1.7并没有直接接到T5,而是隔了一片集成块74LS273。74LS273是一个8D锁存器也就是芯片内部包含了8个D触发器,输入端为D0-D7,输出端为Q0-Q7。若清零端CLR加以低电平,则器件复零,Q0-Q7输出全为零,若清零端为高电平,则每当触发端CLK有一个电平的上跳变时(从“0”变到“1”的瞬间),输入端D0-D7的状态就会被锁存到器件内并从Q0-Q7输出,只要CLK端不再触发,这一状态就会被永远记住。可见AT89C2051从P1.3-P1.7输出的信号只不过是先由74LS273记忆后再送出,其控制逻辑与直接接到的T1-T5是一样的。74LS273的输入端D0-D7能接受输入信号的必要条件是CLK端有正跳变出现,这必须同时满足两个条件:其一是DTMF解码器的STD端须为高电平,也就是遥控发送端有DTMF信令送到;其二是AT89C2051的P1.2必须送出一个由“0”变到“1”的跳变信号。只有当这两个条件同时满足时与门G5才输出正跳变信号,74LS273才能接受外部信息,这就大大提高了电路的抗干扰能力,防止AT89C2051因受到意外干扰而可能导致的受控对象的误动作。3.2.2电路图设计设计摘挂机控制电路如图3所示。 图3摘挂机控制电路3.2.3核心AT89C2051芯片介绍智能部件(单片机AT89C2051)。AT89C2051是一种廉价的高性能通用型单片微控制器,俗称单片机,为双列直插式20脚封装。AT89C2051是一个低电压,高性能CMOS8位单片机,片内含2kbytes的可反复擦写的只读Flash程序存储器和128bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,功能强大AT89C2051单片机可为您提供许多高性价比的应用场合。   AT89C2051是一个功能强大的单片机,但它只有20个引脚,15个双向输入/输出(I/O)端口,其中P1是一个完整的8位双向I/O口,两个外中断口,两个16位可编程定时计数器,两个全双向串行通信口,一个模拟比较放大器。 同时AT89C2051的时钟频率可以为零,即具备可用软件设置的睡眠省电功能,系统的唤醒方式有RAM、定时/计数器、串行口和外中断口,系统唤醒后即进入继续工作状态。省电模式中,片内RAM将被冻结,时钟停止振荡,所有功能停止工作,直至系统被硬件复位方可继续运行。 主要功能特性:(1)兼容MCS51指令系统。(2)15个双向I/O口。(3)两个16位可编程定时/计数器。(4)时钟频率0-24MHZ。(5)两个外部中断源。(6)可直接驱动LED。(7)低功耗睡眠功能。(8)可编程UARL通道。(9)2k可反复擦写(>1000次)FlashROM。(10)6个中断源。(11)2.7-6.0V的宽工作电压范围。(12)128x8bit内部RAM。(13)两个串行中断。(14)两级加密位。(15)内置一个模拟比较放大器。(16)软件设置睡眠和唤醒功能。AT89C2051管脚封装图如下图所示。AT89C2051单片机管脚封装图6所示。 图7AT89C2051管脚封装图3.3双音频DTMF解码电路3.3.1电路工作原理DTMF解码,接收电路使用DTMF解码集成电路MT8870。当电路接口中的JKa和JKb闭合时,由发送端送来的DTMF信号进入MT8870的"IN-"端,经过DTMF解码,得到4位二进制码从Q3-Q0输出,同时由STD端给出一个正脉冲,该正脉冲的出现表明Q3--Q0已经准备就绪,就可以被读取了。DTMF双音多频信号是目前在按键电话(固定电话,移动电话),程控交换机及无线通信设备中广泛应用的一种信号。它是一组由高频信号与低频信号叠加而成的组合信号。MT8870信号接收器可把DTMF信号变为单片机可以识别的二进制数字信号,来识别每一个按键。MT8870与AT89C2051单片机的接口电路如图4所示。输入的DTMF信号经MT8870解调后,在STD端产生一个控制输出信号,该信号与单片机AT89C2051的外部接口P3.2相连。然后P3.2等待DTMF解码器STD端正脉冲的到来,一旦识别到STD端的正脉冲,P3.0-P3.4即读入DTMF解码器的输出的二进制码信息,这个信息就是遥控命令,AT89C2051能对其进行判别---究竟是密码还是控制某路开,关的命令,或是挂机命令。 此部分是整个系统的关键,它的工作情况直接决定了系统的可靠性。经过翻阅大量的文献资料,我发现使用电话专用的双音频编解码芯片进行输入双音频信号的解码,是比较常用的一种方法。使用集成电路不但外围电路简单,而且可靠性强。经过专用集成电路的解码,信号转换成为不同的码制信号,可以直接被单片机读取。一般常用的电话双音频编解码集成电路有8870、8880、8888等,经过反复论证比较,我决定使用双音频解码集成片MT8870来完成此功能模块。有关MT8870的详细介绍请见下文。3.3.2电路图设计双音频DTMF解码电路设计如图4所示。图4双音频DTMF解码电路3.3.3核心MT8870芯片介绍作为电话网,无线移动通信网和计算机通信网的终端设备。DTMF信号通过IN-端输入MT8870,GS引脚接反馈电阻对输入的DTMF信号进行放大,OSC1和OSC2引脚之间接一个3.5795MHZ的晶振,产生DTMF信号双音对中各单音比较信号。信号在MT8870内经过滤波、放大、高低频分离,再经过数字处理转化为与DTMF信号相对应的二进制编码。DTMF信号解码为4位二进制码,由Q1~Q4直接输出,如按下电话“1”号键,则电话线上就有高频1200HZ和低频697HZ的DTMF信号.此信号进入MT8870进行解码,解码的结果由Q1、Q2,Q3、Q4,输出,MT8870输出结果与电话按键的对应关系如表格所示。芯片STD引脚提供DTMF信号检测输出,当MT8870接收到DTMF信号并解码完成后该引脚为高电平,平时该引脚为低电平,该信号通过反相后可向单片机申请中断,TOE引脚为输出使能端,当TOE为高电平时解码结果可以从Q1~Q4输出。TOE为低电平时Q1~Q4引脚为高阻态。MT8870管脚封装图如下图所示。 图8MT8870管脚封装图3.3.4MT8870解码表信号在MT8870内需经过滤波、放大、高低频分离,再经过数字处理转化为与DTMF信号相对应的二进制编码。电话键盘对应的输出二进制编码如表一所示。表1MT8870解码表DigitQ4Q3Q2Q110000200013001040011501006010170111810009100101010*1011#1100 3.4家用电器控制电路3.4.1电路工作原理该系统的目的是通过电话遥控,控制不同的电器的电源的通断,在本装置中一共有5路电器可以控制。控制受控对象动作的信号是从P1.3-P1.7共5路输出的,例如若P1.3=1能使T1导通,继电器J1吸合;若P1.3=0,则J1释放......若P1.7=1,则能使T5导通,继电器J5吸合;若P1.7=0,则J5释放。但由图中可知,P1.3并没有直接接到T1......P1.7并没有直接接到T5,而是隔了一片集成块74LS273。74LS273是一个8D锁存器也就是芯片内部包含了8个D触发器,输入端为D0-D7,输出端为Q0~Q7。若清零端CLR加低电平,则器件复零,Q0-Q7输出全为零,若清零端为高电平,则每当触发端CLK有一个电平的上跳变时(从“0”变到“1”的瞬间),输入端D0~D7的状态就会被锁存到器件内并从Q0-Q7输出,只要CLK端不再触发,这一状态就会被永远记住。可见AT89C2051从P1.3-P1.7输出的信号只不过是先由记忆后再送出,其控制逻辑与直接接到的是一样的。输入端能接受输入信号的必要条件是端有正跳变出现,这必须同时满足两个条件:其一是解码器的端须为高电平,也就是遥控发送端有信令送到;其二是的必须送出一个由“0”变到“1”的跳变信号。只有当这两个条件同时满足时与门才输出正跳变信号,才能接受外部信息,这就大大提高了电路的抗干扰能力,防止因受到意外干扰而可能导致的受控对象的误动作。3.4.2电路图设计家用电器控制原理图如下图所示。 图5家电控制电路3.4.3核心74LS273芯片介绍74LS273是8位数据/地址锁存器,它是一种带清除功能的8D触发器。1D~8D为数据输入端,1Q~8Q为数据输出端,正脉冲触发,低电平清除,常用作8位地址锁存器。第一脚CLR:主清除端,低电平触发,即当为低电平时,芯片被清除,输出全为0(低电平);CP(CLK):触发端,上升沿触发,即当CP从低到高电平时,D0-D7的数据通过芯片,为0时将数据锁存,D0-D7的数据不变。74LS273的输入端D0-D7能接受输入信号的必要条件是CLK端有正跳变出现,这必须同时满足两个条件:其一是DTMF解码器的STD端须为高电平,也就是遥控发送端有DTMF信令送到;其二是AT89C2051的P1.2必须送出一个由“0”变到“1”的跳变信号。只有当这两个条件同时满足时与门G5才输出正跳变信号,74LS273才能接受外部信息,这就大大提高了电路的抗干扰能力,防止AT89C 2051因受到意外干扰而可能导致的受控对象的误动作。AT89C2051从P1.3-P1.7输出的信号只不过是先由74LS273记忆后再送出,其控制逻辑与直接接到的T1-T5是一样的。其管脚封装图如下图所示。图974LS273管脚封装图回过头来再看AT89C2051的RST端,这是该器件的复位端,有3种情况能使它复位;一种是通电瞬间,由于22uF电容的作用使门G3的输入为短时间的高电平,因此G3的输出也有短暂的高电平以使AT89C2051复位;另一种是使用了复位按钮AN;第三种是如果AT89C2051的P3.7没有输出连续的脉冲方波,而是静态信号(高电平或低电平),那么这时三极管T6是处于截止状态的,其集电极为高电平,由门G1,G2构成的振荡器起振,G2输出的正脉冲经过二极管加到门G3的输入端,于是G3输出的正脉冲使AT89C2051复位。第三种情况只有在受到意外干扰运行程序“飞掉”P3.7不输出方波时才会发生,因为在程序正常运行时已安排P3.7不断送出方波信号,使T6的集电极为低电平,由G1和G2构成的振荡器不会振荡,所以就不会有复位正脉冲输出。3.5信息反馈电路3.5.1电路工作原理最后来看一下“信息反馈”电路,它由一片成品“音乐集成电路”构成,当AT89C2051完成一次对受控对象的操作后,由P1.0输出一个高电平脉冲触发音乐片发音。音乐信号经过三极管功率放大,再经过电话接口中的小音频变压器B耦合至电话线上。远方的遥控操作者即能从电话听筒里听到反馈信息。由于信息反馈电路在设计中连接比较简单故此部分电路省略不画。 3.5.2音乐集成电路芯片介绍本次设计中用到了音乐集成电路,或简称为音乐片,下面对其工作原理和结构进行详细的介绍。电子制作中经常用到音乐集成电路和语言集成电路,一般称为语言片和音乐片。它们一般都是软包封,即芯片直接用黑胶封装在一小块电路板上。语音IC一般还需要少量外围元件才能工作,它们可直接焊到这块电路板上。别看语音IC应用电路很简单,但是它确确实实是一片含有成千上万个晶体管芯的集成电路。其内部含有振荡器、节拍器、音色发生器、ROM、地址计算器和控制输出电路等。音乐片内可存储一首或多首世界名曲,价格很便宜,几角钱一片。音乐门铃都是用这种音乐片装的,其实成本很低。不同的语言片内存储了各种动物的叫声,简短语言等,价格要比音乐片贵些。但因为有趣,其应用越来越多。会说话的计算器、倒车告警器、报时钟表等。语音电路尽管品种不少,但不能根据用户随时的要求发出声音,因为商品化的语音产品采用掩膜工艺,发声的语音是做死的,使成本得到了控制。一般语音集成电路的生产厂家都可以特别定制语音的内容,但因为要掩模,要求数量千片以上。近年来出现的OTP语音电路解决了这一问题。OTP就是一次性可编程的意思,就是厂家生产出来的芯片,里面是空的,内容由用户写入(需开发设备),一旦固化好,再也不能擦除,信息也就不会丢失。它的出现为开发人员试制样机提供了方便,特别适合于小批量生产。音乐集成电路的结构及基本工作原理。音乐集成电路有许多系列,且在控制功能上也各不相同,但它们的基本电路结构和工作原理大都是相同的。内部具体构成如下。(1)振荡电路。振荡电路由外接电阻R构成一个完整的振荡器,其振荡频率与R阻值的大小有关,一般的振荡频率为100kHz或50kHz。振荡频率是音调发生器和节奏发生器的时间基准。(2)存储器。存储器(ROM)的存储容量有64字七位的,也有512字七位的不等,其中四位用于控制音调发生器,三位用于控制节奏发生器,同时也提供自停信号。(3)音调发生器。音调发生器按ROM的数据分配产生不同音调的代码。(4)速度控制。它可提供与放音速度相匹配的速度,这种速度已按编好的程序固化在集成电路内,不能由外部选择。3.5.3音乐集成电路使用中的注意事项(1)应正确了解和选用集成电路的工作电压,否则将会产生失真。 (2)外接电阻阻值的大小与输出的音调有关,阻值小时音调高,阻值大时音调低。(3)由于集成电路的种类很多,有时很难从型号及外形上知道它输出的乐曲或语音内容。因此在选购这类集成电路时,最好f临时搭接外围元件,试听一下曲调是否理想。(4)有的集成电路输出电流很小,对于这类集成电路,应外接放大电路。(5)音乐集成电路大多由CMOS电路组成,因此焊接时应使电烙铁外壳可靠接地。4系统软件设计4.1软件设计原理软件部分的设计核心是89C2051芯片。编程语言为单片机汇编语言。设计本遥控装置的控制程序的主要工作是对电话信号进行检测以及接收用户指令控制家用电器的工作。系统程序主要包括管理监控主程序和定时中断子程序。(1)管理监控主程序CPU检测振铃信号状态和按键状态,当检测到有效振铃信号后,启动计数程序,计数到设定振铃次数后,CPU送出摘机信号控制电路自动摘机并送出摘机提示音信号,提示用户输入密码。当检测到有“*”键按下时,也同样送出摘机提示音信号,提示用户输入密码(对“*”键的检测是为了方便用户在家中控制电器,用户直接操作接在线路上的电话机即可)。单片机检测MT8870送出的STD信号,当STD信号有效时,从MT8870读入指令代码并与预设密码比较,如果两者不一致,则送出警告提示音信号,用户可以重新输入密码,若连续3次密码错误,系统自动挂机,不能进行遥控设定,如果输人密码与预设密码一致,则送出确认提示音信号,用户可以输入开机、关机、定时工作等指令,也可以输入查询指令查询系统工作状态或输入更改密码指令、更改设定密码。设定或查询完成后,按“#”键则系统挂机,连续一定时间不输入任何指令信号,系统也会自动挂机,让出电话线路。(2)定时中断程序定时中断程序完成定时工作方式下的计时和本地按键设定状态的查询和执行。4.2系统程序设计流程图系统程序设计流程图如下图所示。开始 振铃检测信号8次振铃电话拾机告知拨号人信号解码密码正确控制继电器开关告知拨号人开关状态操作完成否挂机结束图6系统程序流程图5结束语本次设计综合利用了电子技术专业各方面的知识,设计用到了数字电字技术,模拟电子技术,电路原理,单片机原路及接口技术等专业知识。涉及到的专业知识面广,技术要求高,难度也较大,很好的运用了四年以来所学的专业知识。对未来的工作和继续学习将会有很大的帮助。 利用电话网络进行远程控制是通讯电子信息行业发展的必然结果。随着社会的发展和人们生活水平的提高,越来越多的家用电器进入了百姓的生活,给大家带来了很多的方便和享受,同时随着电话在家庭中的普及,利用电话实现家用电器遥控自然是未来的发展方向。本次设计主要分为两大部分:第一是硬件电路设计;第二是软件部分设计,即用汇编语言编写的单片机程序。硬件电路设计方面为了使设计思路和条理更清晰明了,我把整个硬件电路分成四个部分进行了剖析,首先是振铃检测电路;其次是摘挂机控制电路;再其次是双音频DTMF解码电路;最后是家用电器控制电路。软件设计部分则是按照程序设计流程图中的流程一步步的编写程序,设计本遥控装置的控制程序的主要工作是对电话信号进行检测以及接收用户指令控制家用电器的工作。系统程序主要包括管理监控主程序和定时中断子程序。设计中技术要求很高,特别是硬件电路的实际制作和汇编程序的调试。该装置在调试过程中需要连入电话网,所以在调试过程中遇到的困难很多难度也很大。本设计的目的是希望通过对电话远程控制的研究使这一技术能早日应用于老百姓的日常生活中。本设计在很大程度上也只是从理论方面给出电话远程控制的可行性。许多技术性问题可能还要在实际运用中加以解决。由于设计者水平有限论文中可能有一定的纰漏,希望各位老师能给出更好的指导性意见和建议。参考文献 [1]罗厚军,魏敏敏.经典集成电路应用手册[M].福州:福建科学技术出版社,2006[2]刘修文.实用电子电路设计制作300例[M].北京:中国电力出版社,2004[3]何希才.常用电子电路应用365例[M].西安:电子工业出版社,2007[4]杨旭东,刘行景,杨兴瑶.实用电子电路精选[M].合肥:化学工业出版社,2000[5]何书森,何华斌.实用电子线路设计速成[M].福州:福建科学技术出版社,2006[6]李国厚,王春阳.自动化专业英语[M].北京:北京大学出版社,2006[7]何立民.单片机高级教程[M].北京:北京航空航天大学出版社,2000.[8]李鸿.单片机原理及应用[M].长沙:湖南大学出版社,2003.[9]王宇.智能电话远程遥控器[M].长沙:湖南大学出版社,2003[10]熊瑞香.新型电话机基本原理与维修[M].北京:人民邮电出版社,1996[11]马忠梅,刘滨,戚军.单片机C语言Windows环境编程宝典[M].北京:北京航空航天大学出版社,2003[12]丁元杰.单片机原理及应用[M].北京:机械工业出版社,2005[13]赵坤,王可崇.基于DTMF技术的电力线载波通信系统的设计[M].北京:机械工业出版社,2005[14]李朝青.单片机原理及接口技术[M].北京:北京航空航天大学出版社,1999[15]李华.MCS-51系列单片机实用接口技术[M].北京:北京航空航天大学出版社,1991[16]刘润生.语音识别在电话遥控中的应用[M].北京:人民邮电出版社,2002[17]周永峰.近距离体验智能家居[M].北京:机械工业出版社,2003[18]邱关源.电路原理[M].北京:高等教育出版社,2006[19]张中荃.程控交换与宽带交换[M].北京:人民邮电出版社,2003[20]康华光.模拟电子技术基础[M].北京:高等教育出版社,2004附录一电路总图 附录二程序清单 ;----------------------初始化---------------------------ORG00HMOVDPTR,#TABMOVWADD,#0A0HMOVRADD,#0A1HMOVR4,#6START0:ACALLSTAMOVA,WADDACALLWRBYTACALLCACKJBF0,START0MOVA,#00HACALLWRBYTACALLACKJBF0,START0ACALLTOPNOPACALLSTAMOVA,RADDMAIN2:ACALLWRBYTACALLCACKJBF0,MAIN2MOVR1,#CODEADCSONG1:ACALLRDBYTMOV@R1,ADJNZR4,CSONG2ACALLMNACKACALLSTOPAJMPCSHCSONG2:ACALLACKINCR1AJMPCSONG1CSH:MOVR0,#CODESTMOVR2,#00HMOVR3,#00HMOVCODECW,#0MOVCODESX,#32MOVCODEWS,#0MOVCODEXX,#6SETBZHENLSETBZHAIJI;-----------------主程序--------------------MAIN:JBZHENL,MAIN INCR2CLRTESTLEDCLRSPEAKERJNBZHENL,$SETBTESTLEDSETBSPEAKERMOVA,R2CJNEA,#32,MAINMOVR2,#00HINCR3MOVA,R3CJNEA,#2,MAINMOVR3,#00HMOVR2,#00HCLRZHAIJICLRTESTLEDACALLM8880ACALLDEL100MSACALLRE8880ACALLDEL10MSLOOP:MOVA,CODECWCJNEA,#3,STARTAJMPCSHSTART:ACALLJSSJINCCODEWSMOVA,@R0INCR0CJNEA,#12,LOOP;确认键DECCODEWSMOVR0,#CODESTMOVR1,#CODEADMIMAPD:MOVA,@R0MOVCODEBJ,@R1INCR0INCR1CJNEA,CODEBJ,LOOP1;密码比较AJMPLOOP2LOOP1:MOVR0,#CODESTMOVCODEWS,#0INCCODECWAJMPLOOPLOOP2:DJNZCODEWS,MIMAPDCLRP0.0;密码正确亮灯指示MOVR0,#JDCP JD:ACALLJSSJMOVA,@R0CJNEA,#1,LOOP3;键1修改密码MOVR0,#CODEADMMXG:ACALLJSSJMOVA,@R0INCR0INCCODEWSCJNEA,#12,MMXG;密码修改确认键DECCODEWSMOVR0,#CODEADCSMM:ACALLSTAMOVA,WADDACALLWRBYTACALLCACKJBF0,CSMMMOVA,#00HACALLWRBYTACALLCACKJBF0,CSMMCSONG:MOVA,@R0ACALLWRBYTACALLCACKJBF0,CSONGINCR0DJNZCODEWS,CSONGACALLSTOPAJMPLOOP4LOOP3:CJNEA,#2,JD;键2家电控制LOOP4:MOVR0,#JDCPMOVR7,#16JD0:ACALLJSSJMOVA,@R0CJNEA,#1,JD1MOVJDBH,#01HJD1:CJNEA,#2,JD2MOVJDBH,#02HJD2:CJNEA,#3,JD3MOVJDBH,#04HJD3:CJNEA,#4,JD4MOVJDBH,#08JD4:CJNEA,#5,JD5MOVJDBH,#10HJD5:CJNEA,#6,JD6 MOVJDBH,#20HJD6:CJNEA,#7,JD7MOVJDBH,#40HJD7:CJNEA,#8,JD8MOVJDBH,#80HJD8:CJNEA,#11,JD9JNB20H.0,JDON1CLRLED1JDON1:JNB20H.1,JDON2CLRLED2JDON2:JNB20H.2,JDON3CLRLED3JDON3:JNB20H.3,JDON4CLRLED4JDON4:JNB20H.4,JDON5CLRLED5JDON5:JNB20H.5,JDON6CLRLED6JDON6:JNB20H.6,JDON7CLRLED7JDON7:JNB20H.7,JD9CLRLED8JD9:CJNEA,#12,JD10JNB20H.0,JDOFF1SETBLED1JDOFF1:JNB20H.1,JDOFF2SETBLED2JDOFF2:JNB20H.2,JDOFF3SETBLED3JDOFF3:JNB20H.3,JDOFF4SETBLED4JDOFF4:JNB20H.4,JDOFF5SETBLED5JDOFF5:JNB20H.5,JDOFF6SETBLED6JD10:DJNZR7,JD11ACALLDEL100MSACALLDEL100MSAJMPCSHJD11:AJMPJD0;--------------接收数据子程序---------------JSSJ:ACALLREADPSWACALLREDAJCQACALLDISP RET;--------------8870初始化------------M8870:MOVP2,#0FFHCLRCKSETBCKMOVP2,#0DHCLRCKSETBCKMOVP2,#0DHCLRCKSETBCKMOVP2,#8DHCLRCKSETBCKMOVP2,#0DHCLRCKSETBCKRET;-----------读8870状态寄存器-----------READPSW:CLRCKSETBRSOSETBRWSETBCKMOVA,P2JNBACC.6,READPSWRET;------------设置为接收模式-----------RE8870:CLRCKSETBRSOCLRRWMOVP2,#4DHSETBCKRET;-----------读8870接收数据寄存器--------REDAJCQ:CLRCKCLRRSOSETBRWSETBCKMOVA,P2ANLA,#0F0HSWAPAMOV@R0,ARET;---------启动I2C总线子程序------ STA:SETBSDASETBSCLNOPNOPNOPNOPCLRSDANOPNOPNOPNOPCLRSCLRET;----------停止I2C总线子程序------STOP:CLRSDASETBSCLNOPNOPNOPNOPSETBSDANOPNOPNOPNOPCLRSDACLRSCLRET;---------发送应答位信号子程序------MACK:CLRSDASETBSCLNOPNOPNOPNOPCLRSCLSETBSDARET;---------发送非应答位信号子程序------MNACK:SETBSDASETBSCLNOPNOPNOP NOPCLRSCLCLRSDARET;--------应答位检查子程序------------CACK:SETBSDASETBSCLCLRF0MOVC,SDAJNCCENDSETBF0CEND:CLRSCLRET;--------发送一字节数据子程序--------WRBYT:MOVR7,#08HWLP:RLCAJCWR1WR0:CLRSDASETBSCLNOPNOPNOPNOPCLRSCLWLP1:DJNZR7,WLPRETWR1:SETBSDANOPNOPSETBSCLNOPNOPNOPNOPCLRSCLCLRSDAAJMPWLP1;--------接收一字节数据子程序--------RDBYT:MOVR7,#08HRLP:SETBSDASETBSCLMOVC,SDAMOVA,R2RLCA MOVR2,ACLRSCLDJNZR7,RLPRET;------------显示----------------DISP:MOVCA,@A+DPTRMOVSBUF,AJNBTI,$CLRTIRET;------------延时----------------DEL10MS:MOVR7,#20DEL11:MOVR6,#250DJNZR6,$DJNZR7,DEL11RETDEL100MS:MOVR7,#200DEL2:MOVR6,#250DJNZR6,$DJNZR7,DEL2RETEND家用电器电话远程控制系统 本文设计的是一种基于AT89C51单片机的远程电话控制系统。该系统是以AT89C51为核心、利用现有的个人通信终端,实现基于PLMN(陆基移动通信网)和PSTN(公用电话交换网)的电话远程控制系统。电话远程控制系统(ITRCS),以CCITT(国际电报电话咨询委员会)及我国标准共同规定的部分标准程控交换信令(DTMF双音多频信号,振铃信号,回铃音信号等)作为系统控制命令,以PLMN与PSTN通信网作为传输介质,使用者可以在远端利用固定电话或移动电话发送DTMF双音多频信号,实现对近端电器设备的实时远程控制。该电话远程控制系统不需进行专门的布线,不占用无线电频率资源,从而可避免电磁污染;且通过嵌入式的智能语音提示,突出的语音提示功能和密码控制系统,可使操作者根据各种提示音及时了解受控对象的有关信息。还可通过发出语音命令用电话远程控制多个受控对象,用户可以查询其状态,提供密码处理功能,只有输入正确的密码才能控制家电,从而提高了安全性。该系统设计实用,功能灵活多样,可靠性高,操作方便,可以广泛地应用于家庭或者其它场所的智能控制。 关键词 AT89C51;远程电话控制;DTMF;智能家电TheTelephoneRemoteControlSystemforHouseholdElectronicApplianceBaseonTheMicrocontrollerAbstractThearticledesignedtheremotetelephonecontrolsystemwhichbasedonmicrocontrollerAT89C51.BasingonthePLMNandPSTN,thesystemwhichusesthecorecontrollerSingle-chipmicrocomputerAT89C51realizeslong-distancecontrolhouseholdappliances.Thetelephonecontrolsystem(ITRCS)whichusesthetelephonenetconvertandvoiceinformationreceivesDTMFcodefromthetelephonenet,andinterpretthecode,thecorecontrollercontrolsthestateofhouseholdappliancesrespondedtotheinterpretation.Longdistancecontroloftelephonedoesnotrequirespecialwiresdistributionanddoesnottakeupwirelessfrequencyresources.Electromagneticpollutioncanthusbeavoided.Thedesigningofasystemoflong-distancecontrolbasedonsoundprocessingtechnologyandDTMFdecodingtechnologyispresentedinthispaper.Byusingsoundcommandsofsoundrecognitiontechnology,thissystemrealizesitscontrolofhouseholdelectricalappliancesthroughtelephonenetworksandsorealizestheintelligentlycontroloftheminresidence.Theembeddedandintelligentsoundindication,itsoutstandingsoundfunctionofpromptanditscodecontrolsystemenabletheoperatorstotimelyobtaintherelativeinformationofthecontrolledobjectsthroughindicatedsounds.Thesystemcanusetelephonetocontrolmanyhouseholdelectricalappliancesinlongdistancethroughutteringsoundcommandsandprovidesthecodesafeguardfunction.Onlythroughinputtingthecorrectpasswordtocontrolthem,theuserscancontroltheconditionofhouseholdelectricalequipment,Sothesystemsecuritycanbeimproved.Thissystemispractical,andthefunctionisflexible,andtheoperationisconvenientwithhighreliability,whichcanbeusedextensiveinvariouskindsofcontrolequipmenttohomeandothersfield. Keywords AT89C51;Telephoneremotecontrol;DTMF;Householdappliances 目录 摘要...IAbstractII 第1章绪论...11.1本课题研究的背景...11.2远程控制的内容...2第2章系统设计可行性分析...42.1总体设计分析...42.1.1系统总体设计分析...52.1.2单片机简介...52.2硬件模块...62.2.1模拟摘机电路...72.2.2振铃检测电路...72.2.3控制部分电路...72.2.4双音解码电路...72.2.5语音提示电路...82.3软件模块...82.3.1信号音检测...92.3.2密码检测...92.3.3信号分析处理...92.4本章小结...9第3章硬件单元电路设计...103.1振铃检测电路...103.2模拟摘挂机电路...113.3双音频解码电路...123.4信号音提示电路...153.5电器控制电路...173.6本章小结...18第4章软件设计...194.1单片机初始化...19 4.2振铃计数模块...204.3语音提示模块...214.4密码检测模块...234.5密码修改模块...244.6控制电器模块...254.7本章小结...27第5章系统应用...285.1系统的应用前景...285.2系统使用说明...285.3系统功能扩展...29结论...31致谢...32参考文献...33附录A.34附录B.34附录C.34附录D.34第1章绪论1.1本课题研究的背景二十一世纪是信息时代,各种电信新技术推动了人类文明的进步。自从1876年,AlexanderGrahamBell(贝尔)发明电话以来,世界各国的电话网络发展非常迅速。进十年来,中国的固定电话业务呈现出举世瞩目的快速增长。1997年8月局用电话交换机总容量突破1亿门,网络规模跃居世界第二位,2004年7月固定电话用户总数突破2亿户。随着通讯产业的发展,电话机已经走进了千家万户;随着现代科学技术的发展,利用电话机进行远程控制的技术也日益用于生活中。 现代电话网络是由交换机和电话传输线共同组成,它的性能已经有了很大的进展,而且可靠性非常高。遥控技术是通过一定的手段对被控物体实施一定距离的控制,常用的方式有无线电遥控、有线遥控、红外线和超声波遥控等。无线电遥控既是利用无线电信号对被控物体实施远距离控制。无线电遥控不可避免的须占用一定的无线电频率资源,造成电磁污染;常规的有线遥控需进行专门的布线,增加了投入;而红外线、超声波遥控则受距离所限。现有的遥控方式中,还有载波通信控制手段和基于无线寻呼的遥控方式。载波方式即通过电力线传递信息,该方式只能局限于同一变电所、同一变压器所辖范围内。因此也存在距离问题,应用范围有限。基于无线寻呼的遥控方式利用了现有的寻呼频率资源,不需占用额外的频谱。而且,随着寻呼网的全国联网,其遥控的距离基本不受限制。但该方式的受控方动作滞后于控制方的操作,不具备实时性,而且不具备很高的可靠性。本文介绍了一种电话远程控制技术。该系统用于具有单片机控制的家用电器的电话遥控,用户可通过任意一部双音多频电话(包括手机,电话分机)对自己家庭安装的各种电器(如空调,微波炉,热水器等)进行开机,停机等操作。其控制示意图如图1-1所示。图1-1 控制示意图 作品为突出电话遥控的信息反馈功能,并使产品达到非常高性价比。故未对电话装置的其他功能进行进一步的扩展,而且所有使用的集成电路和其它元器件都尽量选择高性价比的。在该作品的基础上进行了功能扩展是很方便的。譬如:使用语音芯片作为信号音反馈,提高本作品的实用性(此次为了保证整体电路的经济性,所以并未使用昂贵的语音芯片)。加上留言电路,主人不在家时客人留言。利用遥控方式可使主人很方便地在异地提取留言信息;在各路终端上接上传感器即可实现对环境声响的监听;接上自动拨码电路可定时将预定信息转至主人传呼机或特定电话,从而达到定时提醒主人的目的。本作品还可以应用于工厂企业的自动化控制等领域。1.1远程控制的内容4月17日举行的2008中国国际家电展上,海尔集团展出了自主研发的U-home智能家电系统,包含家庭智能网关、网络空调、网络洗衣机、网络热水器等。下班回家的路上,用手机给家中的电热水器发个短信,到家后就能洗上热水澡;给空调发个短信,设定温度,到家就能享受舒适的室温;给洗衣机发个指令,它就自动将衣物洗涤干净,到家后就能直接晾晒……这些过去只在幻想中出现的场景,如今已经变成现实。然而当今大多数家庭仍然使用的是传统家用电器,本文主要设计一种可以远程控制传统家电的电话控制系统。 家庭智能控制系统的主要功能集中在家庭安全报警、电话远程控制、红外集中遥控、自动抄表控制等方面。组网方式分为两大类:有线组网和无线组网。有线组网主要是利用家中的电话线、单独布置通信控制线路或电力线载波通信进行组网;无线组网的主要技术有家庭射频技术(HomeRF)、蓝牙技术(Bluetooth)及家庭电话线网络联盟技术(HomePAN)等。电话遥控作为一较新的课题与常规的遥控方式相比,显示出一定的优越性,不需进行专门的布线,不占用无线电频率资源,避免了电磁污染。同时,由于电话线路各地联网,可以充分利用现有的电话网,因此遥控距离可跨省市,甚至跨越国家。电话属半双工通信手段。因此,这可以大大体现出利用电话进行遥控的更大优越性。操作者可以通过各种提示音即时了解受控对象的有关信息,从而进行进一步的操作。电话遥控这一课题目前已有涉足者,但是只是还只限于实验室阶段,因而距离实际应用,尤其是对于日常生活尚有一定的差距,并不能完全体现出电话遥控方式的半双工通信特点。本作品正是针对这一点进行了较大改进,采取单片机智能控制,利用不同的提示音达到对于不同操作的提示及对受控方状态的信息反馈,从而使操作者能够及时了解受控方信息,使产品达到交互式与智能化。本作品的各种电器接口、各项标准都严格遵循国家有关标准,为以后的产品化提供了良好的基础。电话远程控制系统接收远端发送来的DTMF信号,并对其进行解码,解码后的信号再由中央处理单元采集处理,为了方便用户使用,系统设计了语音提示界面,电话远程控制系统一般工作在无人值守环境,所以应具有自动摘挂机功能、复位功能;为了符合智能化要求,系统采用AT89C51作为中央处理器。同时,电话远程控制系统正常工作还需电源供电电路、驱动电路等辅助电路。由此可以看出,系统主要由流铃检测电路、自动摘挂机电路、由DTMF双音频解码电路、语音提示电路、中央处理单元AT89C51、电器控制驱动电路等组成。第1章系统设计可行性分析1.1总体设计分析电话智能遥控器由单片机构成主控部分,进行主要的信息处理,接收外部操作指令形成各种控制信号,并完成对于各种信息的记录;接口电路提供单片机与电话外线的接口。其中包括铃流检测、摘挂机控制、忙音检测、双音频DTMF识别,及语音提示电路。系统原理框图如图2-1所示。图2-1系统组成框图  语音提示电路是该作品重要组成部分。为了降低本装置的造价,作品的提示音使用程序产生。语音提示电路受单片机的控制产生相应的提示音提示,并通过反馈电路反馈至电话外线。从而使操作者对电器的操作达到交互式,并能即时了解有关的信息;显示电路用于状态设置时的显示;控制部分即受控的终端,如前所述,可通过接驳不同的终端并对电话进行必要的改动从而达到功能的扩展。这一点,可使产品达到系列化。本系统的每一个接口电路(振铃检测、模拟摘挂机、语音反馈、电器开展、双音频解码等)具有很强的实用性。本系统使用最简单的电路、最可靠的电路芯片实现了完善的功能。本系统还有许多可以添加的功能,具有很强的市场前景。本装置并联于电话机的两端,不会影响到电话机的正常使用。用户通过异地的电话机拨通本装置所连接外线的电话号码,通过市局交换机向电话机发出振铃信号,振铃检测电路将检测到的振铃信号送至系统的中央控制单元,如果本装置检测到振铃五次,即五次响铃后无人接,自动摘机,进入密码检测,输入正确后选择被控制电器,然后输入开或关进行遥控电器,完成后返回,这是自动方式;第二种是手动方式。即当中央控制单元接收到振铃信号后,便发出摘机信号,通过模拟摘挂机电路实现模拟摘机。同时,中央控制单元发出控制信号使语音录放电路启动,发出事先录制好的语音提示。用户听到语音提示后便会按键进行操作,用户按键产生的信号经双音多频DTMF(DualToneMulti-Frequency)解码电路解码后,送入中央控制单元。中央控制单元将根据DTMF解码的结果通过驱动电路进行相应的动作(接通分机或开关家电)。1.1.1系统总体设计分析根据电话远程智能遥控系统的具体设计要求:1、通过电话网对异地的电器实现控制(开/关);2、控制器可以实现自动模拟摘挂机;3、控制器设置密码校验;设计的此系统必须具有以下单元功能模块:1、铃音检测、计数;2、自动摘挂机;3、密码校验;4、在线修改密码5、双音频信号解码;6、输入信息分析;7、控制电器开关; 8、电器状态查询;9、忙音检测;根据电话机和交换机发出的不同信号音以及电话线各种状态的不同要求,结合实际情况对具体的单元功能模块作出软件或硬件上的不同分工,具体如下:1、理论上交换机所发出的各种信号音都可以通过软件编程而识别,即通过单片机发出的脉冲信号来检测信号音单位时间内的脉冲个数计算出其频率,从而完成信号音识别。但是从系统的可靠性和程序的结构设计上分析,选择了硬件来解决振铃音检测、忙音检测、双音频信号解码等功能模块。2、自动摘挂机和电器的控制必须使用具体硬件电路来实现。3、振铃音计数、忙音计数、密码校验、在线修改密码、输入信息分析、电器状态查询等功能模块使用软件编程方式要比硬件电路简单的多,实现也很容易。综上所述,本设计的信号音检测、自动摘挂机、控制电器、双音频解码等功能模块使用硬件电路实现。而信号音计数、密码校验、在线修改密码、信息分析、电器状态查询等功能模块使用软件编程完成。1.1.2单片机简介本系统用的核心控制单片机芯片的型号是AT89C51。它是由Atmel公司生产的能与MCS-51系列兼容的单片机,其引脚如图2-2所示。C51单片机引脚功能介绍:单片机的40个引脚大致可分为4类:电源、时钟、控制和I/O引脚。1、 电源:(1)VCC-芯片电源,接+5V;(2)VSS-接地端;2、 时钟:XTAL1、XTAL2晶体振荡电路反相输入端和输出端。3、 控制线:控制线共有4根:(1)ALE/PROG:地址锁存允许/片内EPROM编程脉冲;①.ALE功能:用来锁存P0口送出的低8位地;②.PROG功能:片内有EPROM的芯片,在EPROM编程期间,此引脚输入编程脉冲。(2)PSEN:外ROM读选通信号;(3)RST/VPD:复位/备用电源;①.RST(Reset)功能:复位信号输入端;②.VPD功能:在Vcc掉电情况下,接备用电源。 (4)EA/Vpp:内外ROM选择/片内EPROM编程电源;①.EA功能:内外ROM选择端;②.Vpp功能:片内有EPROM的芯片,在EPROM编程期间,施加编程电源Vpp。4、 按I/O线:AT89C51共有4个8位并行I/O端口:P0、P1、P2、P3口,共32个引脚。P3口还具有第二功能,用于特殊信号输入输出和控制信号(属控制总线)。1.1 硬件模块本作品使用了大量的硬件电路完成部分功能模块,其目的就是充分利用硬件电路的可靠性、稳定性,使整体电路达到比较高的稳定性,采用硬件电路实现部分功能,而且使用了大量的抗干扰元器件,例如:光耦合器,去耦电容等,提高系统的看干扰能力,从而是整个系统的性能更可靠。 1.1.1模拟摘机电路因为程控电话交换机对电话摘机的响应是电话线回路电流突然变大为约30mA的电流,交换机检测到回路电流变大就认为电话机已经摘机。当用户摘机时,电话机通过叉簧接上约200Ω的负载,使整个电话线回路流过约30mA的电流。交换机检测到该电流后便停止铃流发送,并将线路电压变为十几伏的直流,完成接续。自动摘挂机电路可以通过单片机控制一个继电器的开关,继电器的控制端连接一个大约200Ω的电阻接入电话线两端,从而完成模拟摘挂机。1.1.2振铃检测电路当用户被呼叫时,电话交换机发来铃流信号。振铃为25±3伏的正弦波,谐铃失真不大于10%,电压有效值90±15V。振铃以5秒为周期,即1秒送,4秒断。根据振铃信号电压比较高的特点,可以先使用高压稳压二极管进行降压,然后输入至光电耦合器。经过光电耦合器的隔离转换,从光电耦合器输出的波形是时通时断的正弦波,经过RC回路进行滤波输出很标准的方波。方波信号就可以直接输出至单片机的中断计数器输入口,完成整个振铃音检测和计数的过程。1.1.3控制部分电路本单元电路主要是由反向电路、D触发器和继电器等控制电路组成,电路不是很复杂,只是通过单片机控制多路继电器的开关即可,常用的电路已经很成熟可以直接应用。 1.1.4双音解码电路此部分是整个系统的关键,它的工作情况直接决定了系统的可靠性。经过翻阅大量的文献资料,发现使用电话专用的双音频编解码芯片进行输入双音频信号的解码,是比较常用的一种方法。使用集成电路不但外围电路简单,而且可靠性强。经过专用集成电路的解码,信号转换成为不同的码制信号,可以直接被单片机读取。一般常用的电话双音频编解码集成电路有MT8870、MT8880、MT8888等,经过反复论证比较,决定采用双音频解码集成片MT8870来完成此功能模块。有关MT8870的详细介绍请参阅本报告的后面附录C部分。远程用户通过电话按键发送的DTMF信号,经耦合电容的隔直、滤波后,由MT8870接收并进行硬件译码,输出的四位二进制数据直接与AT89C51单片机的P1.0~P1.3口连接,MT8870接收到有效的DTMF信号并解出正确的BCD数据时,会使CID端置高电平,通知CPU取走数据。CPU从P1口读入数据,去掉高四位后将数据保存于内部R7寄存器单元,并对读入的数值进行判断,从而得到远程控制者的输入命令。1.1.5语音提示电路电话远程控制系统利用语音提示电路实现用户和系统的交流。语音提示电路预先存储若干段系统提示音,AT89C51中央处理单元电路判断用户发送的DTMF信号后,对语音提示电路进行寻址,播放相应的提示音,从而向用户反馈信息提示下一步该如何操作。 本系统语音存储采用了美国ISD公司的ISD2590芯片,该芯片具有抗断电、音质好,使用方便等优点。有10个地址输入端,寻址能力可达1024位;最多能分600段;设有OVF(溢出)端,便于多个器件级联。ISD2590芯片如图2-3所示。当有电话呼入并且电话远程控制器拾机后,操作人员便会在语音提示电路的提示下输入密码,选择通道,设定各种数值,执行开机、挂机等操作,语音电路采用语音录放芯片ISD2590。内含振荡器、防混淆滤波器、平滑滤波器、音频放大器、自动静噪及高密度多电平闪烁存储阵列。芯片设计是基于所有操作必须由微控制器控制,操作指令可通过串行通信接口(SPI或Microwire)送入,芯片采用多电平直接模拟量存储技术,每个采样值直接存贮在片内闪存中,因此能够非常真实,自然地再现语音、音乐、音调及效果声,避免了一般固体录音电路因量化和压缩造成的量化噪声和“金属声”。采样频率可为4.0,5.3,6.4,8.0KHz,频率越低,录放时间越长,而音质则有所下降,可以在断电情况下保存100年(典型值),反复录音10万次,将需要提示的语音信息按段录入到芯片后,在CPU的控制下将录入的信息顺序由音频输出端输出,然后经音频功率放大器放大后输送到电话线路上。  1.1软件模块经过比较,决定使用AT89C51作为控制的单片机芯片,具体有关AT89C51的介绍不在这里累述,其详细资料请参阅本报告的2.1.2部分。系统软件主要功能如下:1、系统身份认证:功能为了保证只有合法用户才能操作系统,电话远程控制系统上线以后,用户必须输入密码,待系统确认后才具有对系统的操作权限。2、用户信令解释功能:对收到的用户信号,系统按照软件设定加以解释,并决定对语音提示电路寻址,播放相应的系统提示音,实现用户和电话远程控制系统间的交互操作,或者对外部受控设备发出相应的驱动信号。3、软件定时功能:系统软件设定系统自动复位的软件定时器,定时器的设置值规定了系统一次上线工作的最大时间。若一次工作超时,系统自动离线,进入待机状态。1.1.1信号音检测本单元可以使用AT89C51的两个计数器的外部中断方式来实现对不同信号音的计数。1.1.2密码检测本单元可以在系统初始化的时候,在单片机内部的存储器的内部开辟一块空间放置密码。当用户输入密码的时候,单片机把输入的密码写入另外的一块空间,然后利用减法运算比较两者是否相等,这样就可以实现密码检测的功能。1.1.3信号分析处理本单元可以利用查表方式,也可以用简单的语句,稍微长一点的语句实现,例如CASE语句等。1.2本章小结本章主要是对系统的总体可行性设计进行了分析和核心控制芯片单片机AT89C51进行了简要的介绍。系统的总体可行性设计包括:硬件电路和软件模块,硬件电路的分析主要是振铃检测电路、模拟摘机电路、双音解码电路、语音提示电路、控制部分电路等部分的分析;软件模块的分析包括:初始化、信号音(流铃)计数、密码检测、信号分析处理。经过翻阅大量的技术资料,对具体要求实现的功能进行完整的系统分析,本设计电话遥控系统设计基本符合实际情况,可以完成设计任务所要求实现的基本功能。  后续基于单片机的家用电器电话远程控制系统(2)第1章硬件单元电路设计1.1振铃检测电路在电话线路未来铃流前,电话线路由电话交换机提供大约48V的直流电压。当用户被呼叫时,电话交换机发来铃流信号。振铃信号为25±3伏的正弦波,谐铃失真不大于10%,电压有效值90±15V。振铃以5秒为周期,即1秒送,4秒断。在本电路检测铃流信号时,以五次铃响为准,即五次振铃后无人摘机,便由单片机控制自动模拟摘机,振铃检测电路设计如图3-1所示。原理说明:电话振铃信号通过电容C1隔直、D1稳压二极管、R1限流电阻输入至光电耦合器4N25的输入端1口,C1、D1和R1共同组成振铃信号变换电路,它们使输入电压和电流不会太大,对后面的光电耦合器起保护作用。光电耦合器4N25起的是隔离作用,光电耦合器是一种电信号的耦合器件,它一般是将发光二极管和光敏三极管的光路耦合在一起,输入和输出之间不可共地,输入电信号加于发光二极管上,输出信号由光敏三极管取出。光电耦合器以光电转换原理传输信息,它不仅使信息发出端(一次侧)与信息接收并输出端(二次侧)是绝缘的,从而对电位差干扰有很强的抑制,而且具有很强的抑制电磁干扰能力、速度高、价格低、接口简单的特点。振铃信号通过光耦4N25的4脚输出振铃正弦波,R2和C2共同组成滤波电路,信号到了开关三极管T1的基极就变成了方波。经过一个施密特反向器(可用74LS04代替)的整形输出到单片机AT89C51的T0/P3.4口,中断方式采用外部中断,计数5次产生T0中断,控制继电器模拟摘机,完成振铃音检测。图3-1振铃检测电路设计原器件选取:1、C1隔直电容,因为是过滤直流,滤出低频信号,而且振铃信号的电压还比较高,因此选取10μF耐压100V的瓷片电容;2、D1为稳压二极管,选取36V的稳压二极管;3、R1是4N25的限流电阻,取33kΩ; 4、IC1选取光电耦合器4N25;5、R2和C2共同组成振铃信号音滤波电路,根据电话振铃的技术指标:频率25Hz的正弦波,1秒通,4秒断,τ=RC可以推出0.02≤τ≤4(S)。为了使振铃信号音输出很好的方波波形,如图3-2所示,计算后选取R2=10kΩ,C2=100μF,τ=1s;图3-2振铃信号音输出方波波形6、R3和D3共同组成振铃指示灯,R3=100Ω,D3为黄色5mm发光二极管;7、T1和R4组成模拟开关电路,T1选取9013,根据分压原理和74LS04的低电平有效值,R4取2.9kΩ;8、反向器由74LS04中的二组反向器组成,起整流作用;1.1模拟摘挂机电路设计主要思路:根据国家有关标准规定:不论任何电话机,摘机状态的直流电阻应≤300Ω,有“R”键的电子电话机的摘机状态直流电阻应≤350Ω。在挂机状态下,其漏电流≤5μA。当用户摘机时,电话机通过叉簧接上约200Ω的负载,使整个电话线回路流过约30mA的电流。交换机检测到该电流后便停止铃流发送,并将线路电压变为十几伏的直流,完成接续。根据有关技术指标,模拟摘挂机电路设计如图3-3所示,模拟摘挂机电路主要由一个光电耦合器开关电路控制继电器的开关,继电器控制接入电话线两端的200Ω电阻。摘挂机信指令由单片机通过使TXD/P3.1口变为高电平实现。经过一个反向器驱动发光二极管D1指示摘机,同时改变光敏三极管T1的基极电压,使T1处于导通状态,从而开启继电器J1,J1使电阻R3接入电话线两端。因为R3的电阻为200Ω,使回路电流变大,控制电路向交换机发出模拟摘机的信号,交换机响应摘机信号,完成电话线路接通。整个电路完成自动模拟摘机过程。图3-3模拟摘挂机电路根据设计原理,原器件选取如下: 1、IC1是光控三极管,其中T1三极管是起对单片机控制信号的放大作用,D1是摘机指示灯,取5mm绿色发光二极管;2、R1是摘机指示灯限流保护电阻,取220Ω;3、L1是变压器感应变压输出;4、C1起对电话线电压积累作用;5、R2是三极管限流电阻,取2kΩ;6、D2二极管是起继电器反向保护的作用,取4001;7、J1是继电器控制开关,取JRC4001F(DC5V);8、R3是摘机电阻,取200Ω;1.1双音频解码电路原理简介:双音多频DTMF信号解码电路采用MT8870芯片。MT8870的连线如图3-4所示,它的IN+、IN-脚接收来自电话机的双音多频脉冲信号,该双音多频信号先经其内部的拨号音滤波器,滤除拨号音信号,然后经前置放大后送入双音频滤波器,将双音频信号按高,低音频信号分开,再经高低群滤波器,幅度检测器送入输出译码电路,经过数字运算后,在其数据输出端(11~14脚)输出相对应的8421码。MT8870的数据输出端Q4~Q1连到AT89C51的P1口的P1.0~P1.3,单片机经P1口识别4位代码。MT8870芯片简介和电话按键与相应译码(Q4~Q1)输出见附录C。其中,A,B,C,D4个按键常被当作R/P,REDIAL,HOLD,HANDSFREE等功能使用。注意,需要特别指出的是,对于“0”号码,MT8870输出的8421码并非是“0000”,而是“1010”;另外,“*”,“#”字号码,MT8870输出的8421码分别为“1011”和“1100”。为了使单片机AT89C51获取有效数据,MT8870的CID有效端经施密特反相器后接AT89C51的INT0引脚。当MT8870获取有效双音多频信号后,CID电平由低变高,再反相为低,单片机检测后,指示P1口接收有效二进制代码。而无效的双音频信号(电话线路杂音、人们的语音信号等)是不会引起MT8870的CID端变化的。DTMF接收器的外围电路如图3.4所示。其中,接在电源处的电容对抗干扰有一定的作用。在实际应用中,存在这样一个问题:MT8870的使能控制端不允许中断时,将使MT8870的CID端中断关闭。其解决办法是,将CID端接与非门的一端输入,与非门的另一输入端接一不定电平端P。对CID的有效控制(即中断开放)为,EN=1则P3.2/INT0中断允许;EN=0时则P3.2/INT0中断关闭。本单元元器件列表:1、R1、R2、C1和C2共同组成整流电路,其中R1、R2均取17KΩ,C1、C2隔直电容,均取0.1μF;2、R3、R4、R5是输入平衡电阻,取100KΩ,3、芯片外部晶振Y1选择3.579MHz;4、IC1是双音频解码芯片,选取MT8870; 5、C3选取0.1μF;6、R6是输出平衡电阻,选取470KΩ;7、反向器选取74LS04的一组反向器;图3-4DTMF解码电路 DTMF技术是一种利用声音频率的不同音调来传送拨号信号以取代直接拨号脉冲的方法。DTMF是由低频组(697Hz~941Hz)和高频组(1209Hz~1633Hz)两组频率信号叠加构成的。设v(t)为DTMF信号,vl(t)和vh(t)分别代表选自低频组和高频组的两个信号,它们之间满足关系v(t)=vl(t)+vh(t)=Asinωlt+Bsinωht。低频组和高频组中均仅有4个独立的音调,这些音调的选择是依据它们之间的谐波不相关,它们的互调制信号对主信令的影响最小。DTMF信号共有16(24)种组合,其中10种组合分别代表数字0到9,其余6种组合(#、*、A、B、C、D)用做特别的信令。CCITT和我国国家标准都规定了电话键盘按键与DTMF信号的对应关系,如表3-5所示。表3-5 电话键盘按键与DTMF信号的对应关系电话键盘按键高频组(Hz)1209133614771633低频组(Hz)697123A770456B852789C941*0#DMT8870 芯片介绍:实现DTMF解码的芯片是MT8870,它是MITEL公司生产的,为CMOS电路,DIP封装。它具有DTMF信号分离滤波和译码功能,可直接与MCS-51系列单片机接口。图3-6为MT8870引脚分配图。其引脚说明如下:IN+、IN-为运放的同、反相输OSCI入,DTMF信号输入端;FB为运放输出端,通过外部接入的反馈电阻可调节其内部放大器增益;VREF为基准电压输出;IC为内部连接点,应接至VSS端;OSCI、OSCO为振荡器输入、输出可外接3.58MHZ晶振;EN为数据输出控制端,当它为高电平时允许输出数据Q1~Q4为数据输出端;CID为延迟控制输出端;CI/GTO为控制输入端/时间监测输出端;ECO为初始控制输出端;VDD、VSS为正负电源。 图3-6 MT8870引脚 MT8870的输出代码(Q8Q4Q2Q1)与电话键盘上按键的对应关系如表3-7所示:按键输出代码按键输出代码按键输出代码按键输出代码Q8Q4Q2Q1Q8Q4Q2Q1Q8Q4Q2Q1Q8Q4Q2Q1100015010191001A1101200106011001010B11103001170111×1011C11114010081000#1100D00001.2信号音提示电路原理说明:为了方便本系统的使用,设计了信号音提示音电路如图3-8,首先规定了信号音的规范以及其对应含义:1、响1声,频率为500Hz:请输入密码;2、响2声,频率为500Hz:请输入需要控制的电器号;3、响3声,频率为500Hz:请输入控制开/关;4、响1声,频率为1000Hz:完成操作;5、响3声,频率为1000Hz:密码错误; 语音提示芯片ISD2590的最高地址位PLAYL(脚9),PLAYE(脚10)置为高电平时,芯片即进入操作模式状态。操作模式根据引脚A0~A7的高低电平不同组合总共分为256种不同的模式,实现不同的功能。为尽量节省I/O口线,采用了M1和M6相结合的方法实现对ISD2590操作,将所需的语音通过开始/暂停按钮一段一段从话筒录入芯片,只需记住各段的序号即可。ISD2590的信息检索模式的使用方法:首先将芯片的录放控制P/R端置高,地址位A0—A7置高,现在芯片即处于信息检索模式的信息读取状态。要播放第N段的语音,先给PD端一高电平脉冲,使地址指针复位为0。因为所有的序号都以存储器起始处为基准,除第一段外,只需要CE端收到10us低脉冲,即可使地址指针按A0-A7寻址第N段的开始处,然后拉高SP+,在CE端加一个低脉冲即可播放第N段的语音信息,直到此段后的EOM标志出现为止。由此可知准确检索的关键在于正确检测到每一段的EOM结束标志。因为在快进状态下,EOM脉冲的宽度只有10us左右,对于速度不高的单片机不易检测到,此时可用外部中断来检测EOM标志位。信号音从ISD2590的SP+口输出,先经过一组反向器进行整流、隔离,从反向器输出的是频率一定,时通时断的方波,提示信号经过隔直电容C1输入到音频放大集成电路LM386N-1的输入端。经过LM386N-1的放大,信号音经耦合电容C4至变压器T1,它是音频输出专用的耦合变压器,正好符合阻抗匹配的要求。(本电路重点在于耦合变压器T1(参看模拟摘挂机电路)的选取。因为电话线中直流电压比较高,而且还有各种信号音,这些都会影响到语音信号加载到电话线上,因此本装置使用一个耦合变压器作为隔离器件。这个耦合变压器的阻抗匹配问题是设计的难点,这种耦合变压器分两种,一种是输入,一种是输出,经过实验表明输入用的耦合变压器反馈语音性能比较好,其体积大约是10mm×10mm×8mm。)音频放大集成电路LM386的连接比较简单,本装置的使用是LM386放大增益为50dB的连接方式。利用LM386低压音频功率放大器,LM386是为低压用户设计的功率放大器,内部增益为20倍,在1脚和8脚接电阻和电容时,可使增益增加到200倍,用途广泛,使用方便,外接元件数目较少,本系统的音频放大电路如图3-9所示。 图3-8信号音提示音电路原器件选取:1、反向器选取74LS04中的一组反向器;2、C1的是对音频信号起隔直耦合的作用,所以取100μF的电解电容,耐压性能无特殊要求;3、IC1、R1、R2、R3、R4、C2、C3和C4共同组成音频放大电路,IC1选取LM386N-1,R1取1kΩ,R2取1kΩ,R3取20KΩ,R4取10ΩK,C2取10μF的电解电容,C3取10μF的电解电容,C4取100μF的电解电容;4、T1是音频输出专用变压器(参看模拟摘挂机电路); 图3-9音频放大电路 1.3电器控制电路原理说明:本单元电路主要是由反向电路、D触发器和继电器等控制电路组成。电路图如图3-10所示。首先,单片机AT89C51从P0口的八位都用作输出控制信号。这八位数据连接八个反向器进行整流隔离,然后连接D触发器进行数据锁存。每个D触发器的输出端都控制一路继电器,而每一路继电器也控制一路电器的开关。二极管指示灯串联在开关三极管基极作为电器开关指示。这样就可以完成单片机对多路电器的控制。设计采用控制带有继电器的电源插座来实现对家电的最终控制,诸如电饭煲、热水器、空调之类的电器只需插上插头,主控单片机即可通过控制插座中各继电器来控制电器电源的通断。该方式简单且易于实现。图3.7所示的为一路电器控制电路图,在本装置中一共有八路电器可以控制,其它电器控制相同。 元器件选取:1、反向器选取两片74LS04(每一片内有六个反向器)中的九个反向器;2、继电器开关K1-8选取八个JRC-4100FDC5V继电器;3、D触发器IC1_8选取四片4013(每一片内有两个D触发器);4、三极管T1_8选取八个9013;5、二极管D1_8起保护作用,选取八个4001;6、指示灯LCD1_8选取八个红色5mm发光二极管;7、指示灯限流电阻R11_R18选取八个1kΩ的电阻;8、三极管的限流电阻R21_R28选取八个2kΩ的电阻; 1.4本章小结本章主要是对系统所涉及到的六部分硬件模块进行了详细的功能介绍、工作原理、设计实现方法、硬件品质选择及参数要求等。硬件单元电路设计包括的六部分是:振铃检测电路、模拟摘挂机电路、双音频解码、信号音提示电路、音频放大电路、电器控制电路。此外还给出了用Protel99SE设计的各部分电路结构图。第2章软件设计本系统的软件设计主要分为系统初始化、振铃检测计数、控制摘挂机、双音频信号分析处理、语音提示、密码处理、控制电器等部分组成。每个功能模块对于整体设计都是非常重要的,单片机AT89C51通过软件程序才能很好的对外部的信息进行采集、分析、决策和执行。下面就整体设计以及每个单元功能模块分别进行说明。整体流程图如4-1图所示:图4-1整体流程图1.1单片机初始化单片机的存储系统的分配利用在其工作过程中起非常大的作用,所以就必须对其进行必要的初始化。程序代码:(1)片内RAM初始化子程序IBCLR:MOV A,R0 MOV R1,ACLR AIBC1: MOV @R1,AINC R1DJNZ R7,IBC1RET(2)片外RAM初始化子程序EBCLR1:MOV A,ADDPLMOV DPL,AMOV A,ADDPHMOV DPH,ACLR CEBC11:  MOVX @DPTR,AINC DPTRDJNZ R7,EBC11RET(3)片外RAM初始化子程序(双字节单元)EBCLR2:MOV A,ADDPLMOV DPL,AMOV A,ADDPHMOV DPH,AMOV A,R7JZ EBC21INC R6EBC21:  CLR AMOVX @DPTR,AINC DPTRDJNZ R7,EBC21DJNZ R6,EBC21RET 1.2振铃计数模块本单元是通过计数器T0的外部中断方式来计数的。程序代码:ORG 0090HTT0:SETB7DHRETI1.1语音提示模块本功能模块主要是产生信号提示音,方便不同的使用者。根据普通人耳的反应频率为20Hz至20KHz的范围,而CCITT规定的电话话音信号的频率范围是300Hz至3400Hz,所以在本功能单元的发声频率定为500Hz和1000Hz两种。主要分为五种提示音:1、一声低音,表示装置已经摘机,请输入密码,其参数:频率f=500Hz,延时t=0.5秒/声;2、两声低音,表示密码已经通过,请选择电器,其参数:频率f=500Hz,延时t=0.5秒/声;3、三声低音,表示电器已经选定,请控制(开/关),其参数:频率f=500Hz,延时t=0.5秒/声;4、三声高音,表示密码输入错误,其参数:频率f=1000Hz,延时t=0.3秒/声;5、一声高音,表示控制已经完成,其参数:频率f=1000Hz,延时t=0.3秒/声;提示音发生是使用有限循环,反复使单片机的RXD口的电平反转,从而形成方波信号。子程序代码:ORG1100HRING10:MOV R6,#20          ;请输入密码,RING11:MOV R7,#20          ;500Hz,RING12:LCALL DL10           ;发出提示音,1CPL P3.0               ;延时0.5sDJNZ R7,RING12DJNZ R6,RING11CLR P3.0RETORG 1150HRING20:MOVR3,#03 RING21:MOV R6,#20          ;选择电器,RING22:MOV R7,#20          ;500Hz,RING23:LCALL DL20           ;发出提示音2,CPL P3.0               ;延时0.3sDJNZ R7,RING23DJNZ R6,RING22CLR P3.0MOV R7,#200RING24:LCALL DL10DJNZ R7,RING24DJNZ R3,RING21CLR P3.0RETORG 1200HRING30:MOV R3,#02RING31:MOV R6,#20          ;电器控制,RING32:MOV R7,#20          ;500Hz,RING33:LCALL DL10           ;发出提示音3,CPL P3.0               ;延时0.3sDJNZ R7,RING33DJNZ R6,RING32CLR P3.0MOV R7,#200RING34:LCALL DL10DJNZ R7,RING34DJNZ R3,RING31CLR P3.0RETORG 1250HRING40:MOV R3,#03 RING41:MOV R6,#20          ;输入的密码错误,RING42:MOV R7,#20          ;1000Hz,RING43:LCALL DL10           ;发出提示音4,CPL P3.0               ;延时0.3sDJNZ R7,RING43DJNZ R6,RING42CLR P3.0MOV R7,#100RING44:LCALL DL10DJNZ R7,RING44DJNZ R3,RING41CLR P3.0RETORG 1300HRING50:MOV R6,#40           ;操作完成,RING51:MOV R7,#20           ;1000Hz,RING52:LCALL DL20            ;发出提示音5,CPL P3.0                ;延时0.3sDJNZ R7,RING52DJNZ R6,RING51CLR P3.0RETORG 1500HDL10:MOV R5,#25;delay=1.25ms,f=500HZ,fosc=12MHzDL12:MOV R4,#2DL11:DJNZ R4,DL11DJNZ R5,DL12RETORG 1600HDL20:MOV R5,#12;delay=0.625ms,f=1000HZ,fosc=12MHz DL22:MOV R4,#25DL21:DJNZ R4,DL21DJNZ R5,DL22RETORG 1650HDL30:MOV R5,#50              ;delay=20msDL32:MOV R4,#200DL31:DJNZ R4,DL31DJNZ R5,DL32RET1.1密码修改模块本系统是通过在线输入密码而改变特定存储器中的密码值的。程序代码:ORG 1700HKEYIN:SETB RS1                   ;当前工作寄存器第二工作区CLR RS0ANL A,#00H                 ;清零A寄存器MOV B,#05HLCALL RING10                ;发提示音:输入密码***MOV R7,#5HMOV R1,#38HWPIN: JBC 7EH,READ               ;等待INT0中断LJMP WPINREAD:MOV R1,#38HMOV R0,#40HMOV R7,#05HREAD1:MOV A,@R1MOV @R0,AINC R0 INCR1DJNZ R7,READ1             ;判断输入密码是否为5位,否;跳转READ1LCALL RING10                ;满5位,发提示音:再输入;新密码MOV R7,#5HMOV R1,#38HWRE: JBC 7EH,KEYCMP            ;等待中断INT1LJMP WREKEYCMP:MOV R6,#05HMOV R0,#40HMOV R1,#38HKEYCP:MOV A,@R0CLR CSUBB A,@R1                ;A减((R1))INC R1INC R0JZ BBB                      ;A的内容如果为0,则跳转BBBLJMP LLBBB:  DJNZR6,KEYCP           ;R6减1不为0,则跳转KEYCP,;即循环比较密码的五位MOV R1,#38HMOV R0,#30HMOV R6,#5HKEYREIN:MOV A,@R1MOV @R0,AINC R1INC R0DJNZ:R6,KEYREIN                ;R6减1不为0,则跳转,即循;环比较密码的五位 LCALL RING50              ;发提示音:新密码已经设置LJMP STOPRET待续1.1控制电器模块本系统首先通过外围双音频解码电路解码的信息(选择电器)判断所选择的电器,然后跳转到对应的子程序,通过单片机向P1口的八位发送控制命令,这些控制信息表示对不同的电器进行控制的控制字。为了简单表示,在这里只写出了控制一路电器的控制子程序,其它子程序都相似,不再累述。程序代码:ORG 1600HLL:  LCALL RING30              ;提示选择需控制的电器MOV R7,#1H               ;控释电器的序号MOV R1,#38HCLR 7EHWAIT0:JBC 7EH,DD              ;等待外部中断INT0LJMP WAIT0DD: MOV R1,#38HMOV A,@R1RR ARR ARR ARR AMOVR4,ARL AADD A,R4MOV DPTR,#TABJMP @A+DPTRTAB:LJMPZEROLJMP EIGHT                 ;8 LJMP FOUR                  ;4LJMP STOP                   ;#LJMP TWO                   ;2LJMP ZERO                   ;0LJMP SIX                    ;6LJMP LL                     ;**LJMP ONE                   ;1LJMP LL                     ;9,**LJMP FIVE                   ;5LJMP LL                     ;A,**LJMP THREE                 ;3LJMP LL                     ;*,**LJMP SEVEN                 ;7LJMP LL                     ;C,**ORG 0250HZERO:LJMP LLONE:LCALL RING40               ;发提示音:请操作电器OO1:MOV R7,#01HCLR 7EHWAIT1:JBC 7EH,WW1             ;等待外部中断INT0LJMP WAIT1WW1:MOV R1,#38H               ;检查信号首位MOV A,@R1CJNE A,#50H,BB1          ;(38H)不等于0AH(0),则;跳转BB1LJMP ZZ1                    ;(38H)等于0AH(0),则跳;转ZZ1BB1:CJNEA,#80H,QUIT1          ;(38H)不等于01H(1),则;跳转QUIT1SETB P1.3                     ;打开1 CLR P1.2CLR P1.1CLR P1.0LJMP QUIT1ZZ1:CLR P1.3                       ;全部关闭CLR P1.2CLR P1.1CLR P1.0LCALL RING50                 ;结束QUIT1:LJMP LL1.2本章小结本章主要是对系统所涉及到的五部分模块软件进行了详细的的功能介绍和编写。首先用清晰的流程图展现了各个模块在整个系统中的功能以及互相之间的有机联系;然后分别展示了铃计数模块、语音提示模块、密码检测模块、密码修改模块、控制电器模块等模块的汇编源程序,并加以便于判读的注释。本章是整个系统的重要组成部分,是基于单片机的应用程序之上的,具有一定的代表性和难度。 第1章系统应用1.1系统的应用前景随着我国信息事业的持续、快速发展,通信基础设施日臻完善,固定电话、移动电话用户总数接近两亿。利用现有的个人通信终端,实现基于PLMN(陆基移动通信网)和PSTN(公用电话交换网)的电话远程控制系统,既可以节约投资,又便于推广。电话远程控制系统(ITRCS),以CCITT及我国标准共同规定的部分标准程控交换信令(DTMF双音多频信号,振铃信号,回铃音信号等)作为系统控制命令,以PLMN与PSTN通信网作为传输介质,使用户可以在远端利用固定电话或移动电话发送DTMF双音多频信号,实现对近端电器设备的远程控制的可能性。 本文介绍的基于单片机的家用电器电话遥控装置性能稳定、工作可靠,当有电话打人时,振铃检测电路检测到电话振铃信号,等待系统默认的振铃次数后,启动自动摘机电路实现摘机,并送出提示音信号,用户输入预先设定的密码,控制装置通过双音多频解码电路读取输入密码,与预设在控制装置中的密码进行对比验证,如果密码错误,系统自动挂机;密码正确,则进入遥控状态。通过双音多频解码电路获得用户发出的按键命令,并根据用户的命令执行开机、关机等操作。如果有人在默认的振铃次数之前接听电话,则不进入电话遥控状态,因此不影响电话的正常通话使用。将本装置嵌入在家用电器的控制器中,成为家用电器的一个功能,也能够实现对电器各项设定的完全控制,但这种方式需要与家用电器的生产厂家合作来实现,这也是将来的发展方向。该系统用于具有单片机控制的家用电器的电话遥控,用户可通过任意一部双音多频电话(包括手机,电话分机)对自己家庭安装的各种电器(如空调,微波炉,热水器等)进行开机,停机等操作,能够实现家居生活的舒适、安全、方便、节能,因此具有广阔的应用前景。1.2系统使用说明本系统使用起来非常方便,下面就系统使用流程图做一下简单的使用方法介绍:首先用户把本装置的信号线并联在电话线的两端,插上电源线,打开电源开关,本装置自动复位,就能正常工作了。当用户从异地打来电话,本装置接收到电话振铃音,开始计数。当电话铃声计到五次,装置自动摘机,回送提示音提示输入密码。当用户在三次之内输入正确的密码后,用户就可以对装置所连的电器进行选择,然后根据需要对其进行开关控制。用户可以在一次“通话”中同时控制几个电器。如果用户控制完毕,可以按‘#’键让装置自动挂机,结束“通话”。而已经开启的电器将保持其开启的状态,直到下一次的“通话”控制使其关闭。本装置使用起来简单易学,而且接口可扩展,功能强大。为增加系统抗电磁干扰能力应该采取以下措施:1、选用时钟频率低的微控制器。只要控制器性能能够满足要求,时钟频率越低越好低的时钟可以有效降低噪声和提高系统的抗干扰能力。2、减小信号传输中的畸变。当高速信号(信号频率高、上升沿和下降沿快的信号)在铜膜线上传输由于铜膜线电感和电容的影响会使信号发生畸变,当畸变过大时,就会使系统工作不可靠。一般要求,信号在电路板上传输的铜膜线越短越好,过孔数目越少越好。典型值:长度不超过25cm,过孔数不超过2个。3、减小来自电源的噪声。电源向系统提供能源的同时,也将其噪声加到所供电的系统中,系统中的复位、中断以及其它一些控制信号最易受外界噪声的干扰所以应该适当增加滤波电容来滤掉这些来自电源的噪声。4、元件布置要合理分区元件在电路板上排列的位置要充分考虑抗电磁干扰问题。原则之一就是各个元器件之间的铜膜线要尽量的短,在布局上,要把模拟电路、数字电路和产生大噪声的电路(继电器、大电流开关等)合理分开,使它们相互间的信号耦合最小。5、处理好地线。将模拟地、数字地、大功率器件地分开连接,再汇集到电源的接地点。对噪声和干扰非常敏感的电路或高频噪声特别严重的电路应该用金属屏蔽罩屏蔽。 6、去耦电容。去耦电容以瓷片电容或多层陶瓷电容的高频特性较好,设计电路板时,集成电路的电源和地线之间都要加一个去祸电容。去耦电容有两个作用,一方面是本集成电路的蓄能电容,提供和吸收该集成电路开门和关门瞬间的充放电电能,另一方面旁路掉该器件产生的高频噪声,一般情况下,选取择0.01~0.luF的电容都可以。一般要求每10片左右的集成电路增加一个10uF的充放电电容。另外在电源端、电路板的四角等位置应该跨接一个10~100uF的电容。1.3系统功能扩展本系统由于个人能力的限制,在毕业设计结束之前只能作到现有的程度。在本次毕业设计的后期,我也尽量对本作品的功能进行了相应的扩展。例如:单片机控制电器数量的增加,此部分充分应用了单片机外围接口扩展技术或者加3-8译码器。这里对本系统还可以的扩展功能做一下简单的介绍:使用MT8888芯片还可以进一步扩展其功能,而且使本装置的体积大大减小,在这里就MT8888集成电路作一个简单的介绍。MT8888是MITEL公司的产品,是一种带呼叫进展过滤器的单片双音多频收发器。它包括一个带增益可调放大器的DTMF接收器和一个DTMF发送器。接收器的结构及工作原理与MT8870大同小异,也采用集频带分离滤波和数字解码为一体的结构。其中滤波电路也采用高频群和低频群两个六阶开关电容带通滤波器,解码采用数字计数器技术来确定输入的DTMF音调的频率,并将其译成标准的四位二进制码。发送器采用开关电容D/A变换器。片内使用了一个脉冲计数器,能合成精确的音调脉冲,保证音调脉冲准确的定时发送。MT8888提供了一个标准的微处理器总线接口,可以直接与MCS-51系列微机接口。它还可以选用呼叫进展方式工作,通过呼叫进展滤波器来检测特定通带内的信号频率,供微处理机或计数器电路分析,以确定检测到的呼叫进展音的性质。MT8888的接收工作方式,从检测DTMF信号到解码的过程与MT8870完全一致,差异较大的是解码后的二进制码的输出。MT8888没有延时导引输出端SID,当收到的有效音调对已被寄存且相对应的四位二进制码已被锁在接收数据寄存器中时,片内状态寄存器中的延时控制标志位B3复位,同时状态寄存器中的接收数据寄存器满标志位B2置位,CPU可通过查询这些状态标志来了解解码的过程。如果选中的是中断方式,当延时控制标志位复位时,IRQ/CP端将变为低电平,向CPU发送中断请求,当CPU响应此中断,读出状态寄存器中的数据后,IRQ/CP端返回高电平状态。根据MT8888的以上特点,它可以检测出电话振铃音、忙音等信号音。新的系统功能,改进了的系统可以首先工作于第二方式,即电话线路信号音检测状态,然后根据振铃情况控制摘挂机,摘机后MT8888工作于第四方式,即双音频解码状态,后面就和8870一样了。这样就能节省硬件电路的设计制作,还可以大大缩小本装置整体体积。利用3-8译码器的STA、STB和STC还可以进行级联扩展为24线译码器,若外接一个反向器还可以级联扩展成为32线译码器,从而实现对更多的外部受控设备进行有效控制的可能。使用LM567锁相环可以对电话信号音中的忙音进行识别,使实际使用更加方便,而且能够提高本装置的稳定性。  千万不要删除行尾的分节符,此行不会被打印。“结论”以前的所有正文内容都要编写在此行之前。结论本课题是围绕单片机控制技术在生产和生活中的应用而开展的,重点着重于“智能化”和“开放性”。在研究课题开始时,我对远程控制技术、单片机原理、语音提示和DTMF技术等方面的资料进行了系统的学习和研究。由于本系统的设计是在平时常用的实验设备仪器的基础上进行研究的,所以我对这些实验设备的硬件和软件环境比较熟悉,从而便于对本设计进行彻底的研究和剖析。因为在本系统中选用了一些结构简单但是性能可靠的硬件电路来实现部分功能,以及性价比很高的芯片,由于资料芯片多是英文资料,使我在设计中的难度加大。经过有计划的对相关知识的学习、强化,以及系统总体方案的制定,硬件电路图的绘制,完成了本系统的硬件电路设计和部分软件程序的编写。本系统设计的中央处理部分采用单片机AT89C51,语音提示芯片是ISD2590,TDMF双音频解码芯片是MT8870等芯片,还采用了其他可靠元器件,如光控三极管、D触发器、74SL04反相器、二极管等。本设计能够满足在家庭或其他无人坚守岗位的实际应用,并且具有以下的优势:1、 采用硬件电路实现部分功能,从而是整个系统的性能更可靠。2、 使用灵活和操作方便。本系统既可作为嵌入部分,亦可作为独立部分使用。3、 可扩展性强,升级方便。4、 适应性强,不仅可以完成一定范围内的实时控制,而且经过改进可以完成长距离的控制应用。但在本课题的设计中,由于课题时间有限,以及本人知识和实际设计经验不足,本系统在硬件和软件方面有很多不足之处,从而整个系统还有很多需要改进和完善的地方,下一步的工作需要对其进行深度优化和细节处理。我想应该从以下几个方面:1、 优化单片机的端口分配,使整个系统的运行更协调。2、 对软件进一步的完善和细化,使系统的功能更稳定、可靠。3、 完善系统的对外扩展端口,增强系统的可扩展性。4、 改善系统的硬件电路模块的设计方式和换用功能强大的芯片,从而提高系统的实际功能,满足更加复杂的工作条件的应用。致谢这次毕业设计既是对大学所学知识的总结和运用,更是一次创造性的实践活动。通过此次实践学到了不少东西,归纳起来,主要有以下六点: 1、大学不仅要学好专业理论基础知识,更要学以致用。由于我在平时的实验活动中有意识的锻炼和提高动手能力,已经掌握了一定的实践能力,沟通能力也不错。但是经过这次设计,接触了更多平时没有接触到的软件、元器件及相关的调试经验,发现自己仍然有很多的不足之处。我还体会到了所学专业理论知识的重要性,所谓的博观而约取,厚积而薄发正是这个道理,知识掌握得越多,设计得就更全面、更顺利、更得心应手。2、了解了进行一项科技设计所必不可少的阶段。毕业设计能够从理论设计和工程实践相结合、巩固基础知识与培养创新意识相结合、个人作用和集体协作相结合等方面培养学生的全面素质。经过这次系统的设计,熟悉了一项课题进行研究、设计和实验的详细过程及和导师寻求必要帮助的沟通能力。这些能力将会在以后的工作和学习中发挥不可估量的作用。3、学会了如何搜集、归纳、分析所需资料和利用工具书。平时课堂上所学习的知识大多比较教条化,作为面向科技实践的大学生,由于专业特点自己更要积极查阅当前的最新科技资料。一个人不可能什么都学过,什么都懂,因此,当在设计过程中需要用到一些不曾接触过的材料时,就要有针对性、有目的的地查找资料,然后加以吸收利用,去粗取精,以提高自己的应用能力,而且还能增长自己的学识,汲取到最新的专业知识。4、实践能力得到了提高和在实践过程中积累了一些经验。设计过程是一个很复杂、很繁琐的过程,这就需要在整个过程中目标明确,有计划性的开展设计工作,特别是要有针对性的建立一个时间进度表,来充分合理的利用有限的时间,以使设计达到预订的效果;此外对软硬件的再次运用,更加深了对仪器仪表、开发环境的认识,积累了良好的实践经验。5、毕业设计既对以前学过的理论知识起到了回顾作用,并对其加以进一步的消化和巩固,同时也对毕业以后所从事的实际工作有开启作用。6、毕业设计培养了严肃认真和实事求是的科学态度。而且培养了吃苦耐劳、锲而不舍的专研精神以及相对应的团队意识,同学之间的友谊和互助、导师展现的良师益友的品质也充分的在此次毕业设计活动当中得到了体现,而且也很好的教育了我。在此,大学生活即将结束之际,感谢母校对我四年的培养,是她让我成长、学有所成;此外,诚挚的感谢导师艾红老师在整个设计过程中给予的细心指导和热情帮助,还要真诚地感谢在设计期间所有给我帮助的老师和同学。 参考文献1 余水权.嵌入式系统,智能家电及家居网络[M].单片机与嵌入式系统应用,2001:22-352 黄天戌,孙东.智能电话远程控制系统的设计与实现[J].电工技术杂志,2001.6:35-373 何琳琳,张海军.基于电话网络的家用电器远程控制系统的实现[J].单片机开发与应用,2006.6:744 吴炳胜,王桂梅.80C51单片机原理与应用[M].冶金工业出版社,2001.10:48-535 张大明.单片微机控制应用技术,机械工业出版社2006.2:18-21 6 刘连吉.ISD单片机语音录/放器件及电路设计[J].青岛海洋大学出版社2004.27(3):292-2967 陈明义.数字电子技术基础[M].中南大学出版社,2004,12:99-1308 李传南.单片机与DTMF信号收发芯片MT8870的直接接口设计[J].电子与自动化.2002.2:30-359 曾刚,徐成.DTMF远程通信的软硬件实现技术[M].电子技术应用,2005.5108808microprocessors,W.Triebe1,AvterSingh,PrenticeHall,2001:7-811肖质红.基于公用电话网络的远程控制家电设备[J].浙江万里学院学报,2006.3:123-12912李广地,朱月秀,王秀山.单片机基础[M].北京航空航天大学出版社,2005.2:159-16213杨为理.现代通讯集成电路应用技术手册[M].电子工业出版社,2002,514谭扬波,李翔宇.ProtelforWindows计算机辅助电路设计教程.机械工业出版社15陈尔绍.电子控制实例.电子工业出版社,2004.11:285-28816莫力.Protel电路设计.国防工业出版社,2005.117UnderstandingTelephoneElectronics,John.L.Fike,GeorgeE.FriendTexasInstrumentsInc,2004:20-3518BushbyST.AstandardcommunicationinfrastructureforintelligentBuildings.AutomationinConstruction[J].2007.6:529-54019PersonalComputerXT/ATsystemTechnicalreferencemanual,IntelCorp.附录APCREMOTECONTROLOFAPPLIANCESBYUSINGTELEPHONELINESABSTRACTTheideaofHomeAutomationusingtelephonelinesandaPCwasshowninthisstudy.ThesystemwasbasedontheDualToneMultifrequency(DTMF)signalsthatcouldbesentthroughaloopofwiretoswitchon/offvariousappliancesviaaPersonalComputer(PC).ThesystemhardwareandsoftwareweredesignedbasedontheTelephoneStandards.ThehardwarepartincludedtheDTMFreceiverOn/OffHookdetector,RingdetectorandanInput/OutputPCInterfacingcard.Anexampleofcontrollinganappliancewasprovidedinthestudy.ThesoftwarecontrolprogrammingwaswritteninTurboBasicandtheirflowchartsarepresentedhereforthereader"sattention.INTRODUCTIONHomeAutomationthatwasintroducedinthisstudywasimplementedbydesigningthe"SmartControlSystemthatControlsAllAppliancesRemotelybyPhone".Thedesign wasbasedontheTelephonyStandardswhichmeantthatthesystemwasqualifiedtobeinstalledonthepublicswitchingsystems.ThesystemdesignwasutilizingtheDualToneMultifrequency(DTMF)signalsthatcouldbeproducedbythetelephoneset.Thesesignalsweresentfromtheuserendthroughtheloopwiretothedestinationend,wherethecontrolsystemwasstationed.Thesystemconsistedofthreemajorparts.ThefirstpartwastheringdetectorandDTMFreceiver.ThesecondpartwastheInput/Outputinterfaceunit.ThethirdpartwasthepersonalcomputerwhichwasprogrammedwithTurboBasicSoftwaretoperformtheonlineoperations.Blockdiagramofthesystemwasshowninfigure1. Figure1:SmartcontrolsystemtocontrolAppliancesremotelybyphoneBRIEFOPERATIONOFATELEPHONESET:Asummaryofthetelephonesetoperationwasgivenhereforthereadertovisualizethesystemoperation.Blockdiagramofatelephonesetisshowninfigure2.(a)Localloop:Eachsubscribertelephonewasconnectedtoanexchangeofficethatcontainedtheswitchingequipment,signallingequipmentandbatteriesthatsuppliedthedirectcurrenttooperatethetelephone.Eachphonewasconnectedtothecentralofficethroughalocalloopoftwowirescalledawirepair.OneofthewireswascalledT(fortip)andtheotheronewascalledR(forring)whichreferredtotheTIPandRINGpartsoftheswitchusedinmanualswitch-boards.Switchesintheexchangeofficerespondedtothedialpulsesortonesfromthetelephonetoconnectthecallingpartytothecalledparty.Whentheconnectionwasestablished,thetwotelephonescommunicatedovertransformercoupledloopsusingthecurrentsuppliedbytheexchangeofficebatteries.(b)Initiatingacall:Thesituationwherethe"receiver"handsetwasliftedfromitscradlewascalledtheoff-hookcondition.Theoff-hooksignalinformedtheexchangethatsomeonewantedtomakeacall.Theexchangesentadialtonetothecallerphonetoletthecallerknowthattheexchangewasreadytoacceptatelephonenumber.(Thetelephonenumberwassometimesreferredasanaddress.)(c)SendingaNumber:Sometelephonesetssentthetelephonenumbersbydialpulseswhileotherssentitbyaudiotones.Inthisstudy,audiotonetelephonesetswereused.Thesesetshadapush-buttonkeypadwith12keys.Pressingoneofthekeyscausedanelectroniccircuitinthekeypadtogeneratetwooutputtonesthatrepresentedthenumber.ThesetonesarecalledDualToneMultifrequency(DTMF)signals. (d)ConnectingthePhones:Theexchangeofficehadvariousswitchesandrelaysthatautomaticallyconnectedthecallerandthecalledphones.Ifthecalledphonehandsetwasoff-hookwhentheconnectionwasattempted,abusytonewasgeneratedbytheexchangeofficeandwasreturnedtothecallerphone.Otherwise,aringingsignalwassenttothecalledphonetoalertthecalledparty.Atthesametime,aringbacktonewasreturnedtothecallertoindicatethatthefailedphonewasringing.e)AnsweringtheCall:Whenthecalledpartyremovedthehandsetinresponsetoaring,thelooptothatphonewasclosedandaloopcurrentflowedthroughthecalledtelephone.Theexchangeofficethenremovedtheringingsignalandtheringbacktonefromthecircuit.f)EndingtheCall:Intoday"smostswitchingsystems,thecallwasended,theconnectionwasreleased,onlywhenthecallingartywenton-hook. Figure2:BlockdiagramSYSTEMDESIGN:ThesystemwasdesignedtoreceiveandrespondtotheDTMFsignals.Hence,thecallerpartyshoulduseatelephonesetequippedwithaDTMFkeypadforsendinganumber.Telephonesets,usingtheDTMFmethod forsendingatelephonenumber,wereequippedwithapush-buttonkeypadwith12keyswhichrepresentedthenumbers0through9andthesymbol*and#.Seefigure3.PressingoneofthekeyscausedtheDTMFgeneratortogeneratetwotonesinthevoiceband(300-3,000Hz).Therewasalowfrequencytoneforeachrowandahighfrequencytoneforeachcolumn.Pressingkey5,forexample,generateda770Hztoneanda1,336Hztone.Byusingthedualtonemethod,12uniquecombinationswereproducedfromonlyseventoneswhenthe12positionkeypadwasused. Figure3:DTMFKeypadandFrequenciesThefrequenciesandthekeypadlayouthavebeeninternationallystandardized,butthetolerancesonindividualfrequenciesvariedindifferentcountries.TheNorthAmericanstandardswere*1.5%forthegeneratorandf2.0%forthereceiver.ThetoneshavebeenselectedcarefullysothattheDTMFreceiverintheexchangeofficewouldnotconfusethemwiththeothertonesthatmightoccuronth6line.TheseDTMFtonesfromtheexchangeofficeweretransmittedthesameasspeechoverthetelephoneline.ThispermittedustoreceivetheDTMFtonesbyoursystem"sDTMFReceiverifanynumberwaspressedonthekeypad. a)DTMFReceiverCircuit:ThetaskoftheDTMFReceiverwastodetectthepresenceofavalidtonepaironatelephonelineorothertransmissionmedium.Thepresenceofavalidtonepairindicatedasingledialleddigit.Inordertogenerateavaliddigitsequence,eachtonepairshouldbeseparatedbyavalidpause.ThefollowingtableshowedtheestablishedBellsystemstandardsforavalidtonepairandavalidpause:Low-GroupTone:697or770or852or941HzHigh-GroupTone:1209or1336or1477or1633HzFrequencyTolerance:for(1.5%+2Hz)AmplitudeRange:-24dBm.CA<+6dBm@600OhmToneDuration:40msorlongerPauseDuration:40msorlongerFigure4showedthecompletecircuitfortheDTMFreceiver.ThecircuitemployedtheRadio-Shack(276-1303)DTMFReceiverIC.ItwasacompleteDualToneMultifrequency(DTMF)Receivercircuitdetectingaselectablegroupof12or16standarddigits.Figure4:DTMFReceiver&TelephoneSourcecontrollerTheblockdiagramoftheDTMFreceiverinternalstructure.Theanaloginputsignalwaspreprocessedbya60-Hzrejectfilter,apreamplifierandalowpassfiltertoemphasizethesignalpart.Theoutputofthepreprocessorstagewasthensplitintotwofrequencybands,eachofwhichcontainedonlyoneDTMFtonegroup.Theoutputofeachband-splitfilterwasamplifiedandthefollowingzero-crossingdetectorconvertedthesinusoidalsignalstosquarewaves.Thesesquarewaves,passedthroughtonefrequencybandpassfilterstogeneratetheindividualfrequenciesineachtonegroup.Eachbandpassfilteroutputwasdetectedbyanamplitudedetectorandfedtoatimingcircuittodeterminethedetectionvaliditywithin40ms.Thedetectoroutputwasalsofedtoanoutputdecodertodecodethetonestotheirequivalentbinarynumbers.Thetimingcircuitryproducedthecontrolpulses,foranoutputregister.Forexample,thedatastrobefromthetimingcircuitenabledthedecoderoutputstobelatchedattheregisteroutputsD1,D2,D4,D8.Theregisteroutputsbecamevalidafteratonepairhasdetectedandtheywereclearedwhenavalidpausehasarrived.TheoutputformatwasprogrammedbytheHEX/B28pin.WhenHEX/B28=1,theoutputwashexadecimalandwhenHEX/B28=0,theoutputwasabinarycode.Thefollowingtablesshowedthetwooutputformats.  A,B,CandDwereusedforextendedkeypads.TheDataValid(DV=l)pinindicatedavalidtonepairwassensedanddecodedattheoutputpinsofD1,D2,D4andD8.DVremainedhighuntilavalidpauseoccurred.Asshowninfigure4,theinputpins9and10ofDTMFreceiverICwereconnectedtoanIsolationtransformerwhichwasusedtoisolatethetelephonelinegroundfromthedigitalground.Pin10wastiedtothedigitalground,whichwastheinputground.TheRingterminalofthetelephonelinewasconnectedtooneterminaloftheIsolationtransformerandtheothertransformerterminalwasconnectedtooneendofarelay.TheotherendoftherelaywasconnectedtooneterminalofacapacitorwhichalloyedACsignalstopassthrough.ThesecondterminalofthecapacitorwasconnectedtotheTipterminalofthetelephoneline.TherelayprovidedthecontrolofconnectingtheDTMFreceivertothetelephonelineviathePC.ItalsoprotectedtheDTMFreceiverfromtheringsignalsthatcoulddamageit.Theringsignalswereintherangeof40to130Vrmsand16to60Hz.ThetypicallU.S.valueswere90Vrmsand20Hz.Pin4wastiedtothegroundtoenablethedetectionoftonepairs.Pin2wastheHEX/B28pinanditwastiedtohightoshowthattheoutputwasinhexadecimal.Pin3wastiedtohigh,whichconfiguredtheoutputstobepushpull.Pins1,16,17and18,theoutputpinsandpin14,thedatavalid(DV)pinwereconnectedtothe1/0interfacecardviaHEXinverters.Pin7wastheICground.Itwastiedtothedigitalgroundwhichwasprovidedfromthe1/0interfacecard.Pin15(CLRDV)wasalsotiedtothedigitalgroundtoshowthattheDataValid(DV)wasclearedbyavalidpauseonly.Pin5wastheICpowersupply(+5)whichwasprovidedfromtheI/Ointerfacecard.TheICcrystalwasconnectedbetweenthepins11and12.Itwasenabledbyconnectingthepin8tohigh.b)RingandOff-HookDetectorCct:Boththeringandoff-detectionemployedinonecircuit.Figure5showedthecircuitconnections.ThecircuitmadeuseofanOptoisolatortoindicatetheringingandoff-hooksignals.TheinputstageoftheOptoisolatorconsistedofanLED,Inputpins1and2wereconnectedtoanexternaldiodetopreventtheOptoisolatorfromreverseDCvoltages.Pin2wasconnectedtotheRingterminalofthetelephoneline.Pin1wasconnectedtoafix10K-anda20Kpotentiometertoadjustthesensitivity.Theotherendofthe20KwasconnectedtotheTipterminalofthetelephoneline. Figure5:Ring&Off-HookDetectorcircuitTheforwardcurrentfortheLEDwas1to20mA.Theoperatingcurrent,If,,hasbeenchosenas4mA.Thecommonbatteryvoltagefromtheexchangeoffice as50Vdc.Then: If=4mA,R=12.325KHence2.325Kwassetupwiththe20Kpotentiometerfortheaboveoperatingcurrent.TheoutputstageoftheOptoisolatorconsistedatransistorwhosecollectorasconnectedtoa1Kresistor.Theotherendoftheresistorwasconnectedto+5VfromtheI/Ointerfacecard.Outputpins4(emitter)and5(collector)wereconnectedtogroundandtoI/Ointerfacecardrespectively.c)Input/OutputInterfaceCircuit:Astandard1/0interfacingcardwasusedtointerfacetheringdetectorandtheDTMFreceiveroutputstoPC.ThiscardwasinsertedintoaPCexpansionslot.Allsystemcontrolsignalsandvoltagerequirementswereprovidedthroughthe31positioncardedge-tab.Thecardwasinterfacedtotheoutsideworldthrougha25pinD-shellconnectoranditscable.ThecardcontainedaprogrammableperipheralinterfaceIC8255,an8253timerICplusvariousbuffersanddecoders.IC8255interfacedtheperipheralequipmenttoCPUdatabus.ThedatawastransferredtoCPUthroughthisbusunderthecontrolofRead/Writecontrollogic.8253timerhas3independent16-bitdowncounters.Theygeneratedtimedelaysundersoftwarecontrol.After8253initialization,eachtimerwasconfiguredviaamodecontrolwordandacountquantityvaluesentbyCPU.Oncethemodecontrolwordwasreceived,acountregisterineachcounterwaspreloadedinthesequencedefinedbythemodecontrolword.Eachcountercounteddownuntilthepresetdelayvaluereachedtozero.Formoreinformation,thereadershouldconsultanI/Ocardmanual.Finallythesystemfunctionswhichwereprovidedbythe110cardweresummarizedasfollows:a)ItcontrolledthetelephonelineconnectiontotheDTMTreceiver.b)Itreceivedtheinputsassociatedwiththediallednumber.c)Itreceivedon/off-hookandringinputs.d)Itcontrolledalltheappliances.e)Itprovided+5VandGNDforthesystem.d),Software:ThesoftwarewaswrittenusingTurboBasic.GeneralflowchartoftheprogramwasshowninFiguresix,theprogramstartedwith1/0initialization,andscreenconfiguration.Then,themenuwaslistedandtheprogramwaitedfortheoperationalchoice.Ifanyofthechoiceswereselected,theassociatedsubroutinewasfetched,executedandtheprogramreturnedtothemainmenuformorerequests. Theprogramcontainedtwomainsubroutines,DTMFreceiversubroutine,Ringandoff-hookdetectorsubroutineandanapplicationsubroutine.Theflowchartsofthesemainsubroutinesweregiveninfigures.RESULTSANDCONCLUSIONSThesystemoperationwassummarizedasfollows;a)Theuserdialedanumber.b)ThePCdetectedaringingviathe1/0interface,connectedthetelephonelineandsentatone.c)Thesystemwaitedforthepassword.d)Theuserenteredthepassword.e)Ifthepasswordwasaccepted,theuserwasconnectedtothesystemtocontroltheappliances.TheflowchartoftheRing&OffHookdetectorcontrolsubroutinef)Ifthepasswordwasnotaccepted,thePCsentanerrortoneanddisconnectedthecaller.Asteppermotorcontrolwastakenasanapplicationexample.Themotorwasa7.5"stepunipolarsteppermotor.Ithadfour12Vdcwindings(coils)andapermanentmagneticrotoratthecenter.TherelevantcircuitdiagramwasshowninFigure9. Figure6:SteppermotorCircuitDiagramAdarlingtondriverICwasusedtoprovidetherequiredvoltagesandcurrentstothesteppermotor.FourinputsofthedarlingtonICwereconnectedtotheI/Ointerfacecard.Theassociatedfouroutputswereconnectedtothemotorwindings.Inthisapplication,thespeedandthedirectionofthesteppermotorwerecontrolledremotelybythetelephone.Theflowchartoftheapplicationsubroutinewasgiveninfigure.ThesystemcouldbespeededupbyusingfastercomputerorusingCorAssemblylanguageforprogramming.Duetotheprogrammingflexibility,thesystemhadunlimitedcontrolapplicationsdependingonthe1/0portsavailability.Sincethesystemhasbeenbuiltbasedontheinternationalstandards,thecompletesystemcanbeintegratedintotheI/OcardandpresentedasastandalonePCcardthatcanbeinsertedintoanexpansionslotinanyPC. 附录B 基于电话线的PC远程控制家用电器摘要:家庭自动化使用的电话线和电脑显示这方面的思想正在被的研究。该系统是基于双音多频(DTMF技术的)信号可通过发送一回路的导线开关/关各种电器,且是通过个人计算机(PC)的。在该系统的硬件和软件设计是在电话的标准的基础上,硬件部分包括双音多频接收器/断钩探测器,环探测器和输入/输出接口的PC卡。控制设备是一个提供的在研究中的例子。软件编程控制由TurboBasic编写和它们的流程图被介绍到这里来是为了引起读者的注意。导言:在这里被介绍的家居自动化是此处设计的“智能控制系统通过电话控制所有远程家电”。设计是基于电话的标准,这意味着,该系统是有资格被安装在公共交换系统。该系统的设计是利用双音多频(DTMF技术的)信号,它可由电话的设置产生。这些信号被送往从用户端通过回路电线到目的终端,那里的控制系统是静态的。该系统包括三个主要部分。第一部分是环形探测器和双音多频接收器。第二部分是输入/输出接口单元。第三部分,是个人电脑,这是编程的TurboBasic软件,以实现在线的运作。该系统框图如图1所示。 图1:智能控制系统通过电话来控制远程家电 电话设置的简要介绍:在此总结了一套电话给设置的方案在这里给读者以视觉化的系统运作。电话设置框图显示在图2。(1)本地环路:每个用户的电话被连接到一个载有交换设备的交流办公室,信号设备和电池供应直流操作电话。每个电话通过本地环路的两个所谓的一双电线被连接到中央办公室。其中的电线被称为T(提示)和其他一被称为R(上环),其中提到尖端和环部分的开关在使用手册开关板上。开关在外汇局作出回应,拨号脉冲或音调从电话连接的这一端传到另一端。当连接建立时,就建立了两个电话沟通,通过变压器耦合循环使用所提供的办公室交流电源。(2)发起的呼叫:在“接受者”手动解除其从开始的情况下,被称为场外钩条件。场外钩信号将通知有人想拨打电话的交换机。交换机向来电者的电话发出了一个拨号音,让来电者都知道交换机准备接受一个电话号码。(这个电话号码有时被称为作为一个地址。)(3)发送一个号码:若干电话机发出的电话号码由拨号脉冲,而其他发出它的音频铃声。在这项研究中,音频音电话机被使用。这些集合了12个键的按键的键盘。按下一个按键就会产生一个电子电流在键盘产生两个输出的铃声为代表这个号码。这些铃声是所谓的双音多频(DTMF技术的)信号。 (4)连接电话:交换机室有各种开关和继电器自动连接来电和被叫。如果被叫的电话是自动摘机时,连接试图建立,忙音将被交换机产生,并退还给来电者的电话。否则,振铃信号发送到被叫的电话,提醒被叫的部分。在同一时间内,电话铃响语音退还给来电显示以至于发出失败的电话铃声。(5)回答呼叫:当被叫部分取消手机在回应一环,闭环,以电话被关闭和回路电流流过被叫的电话。交换机室就会从电路中去掉响铃信号和电话铃响的语音。(6)结束通话:在现在的最新的开关系统中,呼叫结束后,连接被释放,只有当要求再次到被提出。 图2:电话设置框图 系统设计:该系统的目的是接收并回应所得的DTMF信号。因此,对于来电者部分应使用电话设置配备DTMF的键盘发送一个号码。电话机,使用DTMF的方法发送了一个电话号码,分别配备了带12个键的按键盘,代表的号码从0到9和符号*和#。见图3。按下一键之后就会产生由DTMF发生器产生2个在语音频带(300-3000HZ)的信号音。有一个低频音的每一行和高频率的基调每一栏。举例来说,要是按的键是5,产生了770HZ的语音和1336HZ的语调。使用双音的方法,当12个位置的按键采用时,12独特的组合被产生于从只有7个声调时。 图3:DTMF技术的键盘和频率 频率和键盘布局已国际标准化,但公差就在不同的国家有个别不同的频率。北美标准*1.5%来自发生器和F2.0%为接收器。声调已仔细选定,使有关的DTMF接收器在交换机中将不会将它们与其他的铃声混淆,这种情况可能会发生在第六线。这些双音多频音调由外部交换机传达了相同的通话通过电话线。这允许我们收到的DTMF音调,通过我们的系统的双音多频接收器,如果有任何的键盘的按钮被按下。(1)DTMF接收电路:DTMF接收器的作用就是检测存在于电话双线或者其他的传输媒介的有效双音频。那个存在的有效的语音表示对应一个单一的拨号数字。为了产生一个有效的数字序列,每个语音由一个有效的暂停对应分开。下表显示,既定的贝尔系统的标准,一个有效的语音对和一个有效的暂停:低收入组的音频:697或770或852或941赫兹;高组的音频:1209年或1336年或1477年或1633赫兹频率公差:(1.5%+2赫兹)振幅范围:-24dBm的。GA<6dBm的600欧姆 语音时间:40MS或更长暂停时间:40MS或更长图4显示了完整的双音多频接收器电路。电路利用一电台-夏克(276-1303)双音多频接收器集成电路。这是一个完整的双音多频(DTMF技术)接收机的电路用于检测一个可选的12个或16个标准数字组。 图4:双音多频接收器及电话来源控制器 模拟输入信号预处理,由60赫兹隔绝过滤器、前置放大器和低通滤波器加调信号部分组成。输出预阶段,然后分裂成两个频带,每个只载有一个双音多频音组。以方波的形式输出每个带过滤器的分裂扩增及以下的零交叉检测器转换的正弦信号。这些方波通过语音频带传到滤波器,以在每个频调组产生一个独立的频率。每个带通滤波器输出都被振幅探测器和定时电路检测,以确定检测的有效期在内40ms。探测器的输出也输入到带输出的解码器解码音频成它们相当应的二进制号码。时间电路产生的控制脉冲,是为输出做记录的。举例来说就是,来自时间电路的数据频闪灯使解码器的输出被锁存在寄存器中,然后在从D1,D2,D4,D8口输出。寄存器的输出成为有效后,音频对已被侦测到同时一个有效的暂停到来时他们就被清除了。输出格式是被hex/b28针编的程序。当hex/b28=1时,输出是十六进制;当hex/b28=0时,输出的是一个二进制代码。以下各表显示了这两个输出格式。  A,B,C和D被用于键盘的扩展。数据有效(的DV=1)的引脚表示是一个有效的语音对被检测和解码在D1,D2中,D4和D8的输出引脚。的DV仍然很高直到一个有效的暂停发生。作为如图4所示,输入引脚9和第10的DTMF接收器集成电路被连接到一个隔离变压器被用来隔离来自数字地线的电话地线。针10被接到数字的接地端,这是接入地面。环形终端的电话线连接到一个终端的隔离变压器和其他变压器的终端连接到一个中继器末端。另一终端的中继被连接到一个终端电容器,其中复合交流信号通过。第二终端的电容器被连接到终端提示的电话线上。继电器提供了控制连接的DTMF接收器电话线通过电脑。它也保护了双音多频接收器来自可能会损坏它的环信号。环信号,在范围40至130个Vrms及16至60赫兹。典型的美国标准值分别为90个Vrms和20Hz。针4被接到地面以使检测语音对成为可能。针2是hex/b28针和它被接到高电平以显示其输出是十六进制。引脚3被绑在高,配置的输出要被推拉。管脚1,16,17及18输出引脚和14引脚,该数据有效时(DV)通过十六进制逆变器引脚被连接到I/O接口卡上。引脚7集成电路为接地。这是绑在数字地面,它是从I/O接口卡提供的。引脚15(clrdv )也绑在数字地面以表明该数据有效(DV)时就会只被一个有效的暂停清除。从I/O接口卡被提供的引脚5是该IC电源供应器(+5)。该IC的晶振连接在11及12条间。从而使引脚8连接至偏高就成为了可能。(2)在环及场外钩探测器的CCT:无论环及场外检测都应用于一个电路。图6显示电路连接。该电路利用一光隔离器表明响铃及场外摘机信号。输入阶段的光隔离器构成一个LED,输入引脚1和2分别连接到一个外部二极管,以防止来自反向直流电压的脉冲。引脚2连接到环终端的电话线。第一脚被连接到一个修复10K型,20k电位器调整的灵敏度。另一端为20K的部分连接到终端提示的电话线上。该正向电流为LED的是1到20mA。目前有效值被选为4毫安。来自交换机的共同电源电压为直流50V。然后:If=4mA,r=12.325k;因此2.325k成为20k电位为上述的操作电流。输出阶段的光隔离器构成了晶体管的集电极作为连接到1K的电阻上。另一端的电阻从I/O接口卡连接至+5V。输出引脚4(发射极)和5(集电极)连接地和I/O接口卡。 图5:环形摘机探测器电路 (3)输入/输出接口电路:一个标准的I/O接口卡被用来连接环探测器和双音多频接收器输出到PC。此卡插入PC机扩展槽。所有的系统控制信号和电压的要求是提供了通过31位置卡边缘标签。该卡接口被链接向外部世界通过一个25针D壳连接器及其电缆。卡载有一个可编程的外围接口芯片8255、一个8253定时器的IC加上各种缓冲器和解码器。集成电路8255接口的周边设备以CPU的数据总线连接。数据是通过读/写控制逻辑总线的控制被转移到CPU的。8253定时器有3个独立的16位向下计数器。它们在软件控制之下产生时间延迟。8253初始化后,每个定时器被中央政策组发出的模式控制字和一个计数的数量值设定。一旦模式控制字被接收到,在每个计数器中的计数存储器是预装在该序列所界定的模式控制字。每个计数器计数,直到预设的延迟值达到到零为止。如需详细资讯,读者应查询I/O卡手册。最后,110卡所提供的系统功能被归纳如下:1)有控制的电话线连接到DTMF接收机。2)在它收到的输入及拨叫号码。3)有收到的摘挂机和铃声的输入。4)它能控制所有家电。5)它为系统提供的+5V和接地。(4)软件:该软件是用TurboBasic语言编写的。该程序的一般的流程图,该程序开始是I/O初始化,和屏幕的配置。然后,该目录的编写及程序等为运作的选择。如果有任何的选择被选定,则相关的子程序启动,执行和计划为更多的要求返回到主菜单。该项目包含两个主要的子程序,双音多频接收子程序,流铃及摘机探测器子程序和应用子程序。 结果和结论:如下归纳该系统的运作:1)用户拨打一个号码。2)个人电脑通过I/O接口发出铃流,并连接到电话线上,并发出了一个音频。3)该系统等待验证密码。4)使用者输入密码。5)如果密码被接受,用户被连接到系统以控制家用电器。6)如果密码不被接受,PC就会发出一个错误的音频和断开的来电。一台步进电机的控制被作为一个应用实例来展现。汽车是一个7.5度的一步单极步进电机,它有四个12V的直流绕组(线圈)和在该中心的一个永磁转子。 图6:步进电机电路图 达林顿驱动器IC被用来为步进电机提供所需的电压和电流。达林顿集成电路的4输入端被连接到I/O接口卡。其相应的4输出端被连接到电机绕组上。在这方面的应用中,步进电机的速度和方向的控制是由远程电话完成的。应用子程序的流程图。若使用速度更快的计算机和使用C或汇编语言编程,该系统可被加快。由于编程的灵活性,系统在控制等方面的应用并不仅仅只局限于I/O端口的可用性。由于该系统建成在国际标准的基础上,完整的系统可以被集成到I/O卡上,并作为一个独立的PC卡来介绍,这种卡可以插入到任何个人电脑一个扩充槽。      附录C提示音信号跳转子程序代码:ORG 1150HRING20:MOV R3,#03RING21:MOV R6,#20RING22:MOV R5,#20;1600Hz RING23:LCALL DL20;sound=3CPL P3.0DJNZ R5,RING23DJNZ R6,RING22CLR P3.0MOV R5,#200RING24:LCALLDL10DJNZ R5,RING24DJNZ R3,RING21CLRP3.0RET密码检测子程序代码:HOKE1:LJMPHOKEORG 0090HTT0:   SETB7DHRETIORG 0150HHOKE: CLR 7DHSETBP3.1;接通电话CLRTR0;打开T0MOV R2,#03H;密码错误三次LCALL RING10;输入密码IN:    CLR 7 EH;7EH=0DTMF:MOV R7,#1H;密码:5R7MOV R1,#38H;提示音SETB P1.4SETB P1.5SETB P1.6SETB P1.7WAIT:JBC 7EH,CC;等待INT0 LJMP WAITCC:  MOV R7,#1H;密码5***MOV R0,#30H;MOV R1,#38H;CMP: MOV A,@R1MOV R4,ACLR CMOV A,@R1SUBB A,@R0INC R0INC R1JZ AAA;LJMP QQAAA: DJNZ R7,CMP;R7-1!=0LJMP LL;通过QQ;  DJNZ R2,IN1;passwordwrong&R2!=0LCALL RING20LJMP STOPIN1:  LCALL RING20;密码错误,请重新输入!LJMP IN 附录D '