• 591.34 KB
  • 2022-04-22 11:30:33 发布

数字电子技术基础 (毛炼成 谈进 著) 人民邮电出版社 课后答案

  • 24页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'课后答案网您最真诚的朋友www.hackshp.cn网团队竭诚为学生服务,免费提供各门课后答案,不用积分,甚至不用注册,旨在为广大学生提供自主学习的平台!课后答案网:www.hackshp.cn视频教程网:www.efanjy.comPPT课件网:www.ppthouse.com课后答案网www.hackshp.cn 第一章习题及解答1.1将下列二进制数化成十进制数。(1)10110.111(22.875)(2)11001.011(25.375)(3)10001.101(17.625)(4)11110.101(30.625)1.2将下列十进制数化成二进制数(误差ε<2−6)。(1)77.54(1001101.100010)(2)61.375(111101.011000)(3)24.6(11000.100110)(4)172.38(10101100.011000)1.3将下列二进制数化成八进制数。(1)1100011(143)(2)10110110(266)khdaw.com(3)101101(55)(4)1101.0011(15.14)1.4将下列八进制数化成二进制数。(1)271(10111001)(2)0.71(0.111001)(3)35.26(11101.010110)(4)14.65(1100.110101)1.5将下列二进制数化成十六进制数。(1)110010(32)(2)0.101101(0.B4)(3)11010.11101(1A.E8)(4)101110.001011。(2E.2C)1.6将下列十六进制数化成二进制数。(1)AE(10101110)(2)0.6A(0.01101010)(3)32.A6(110010.1010011)(4)5.C3(101.11000011)1.7用8421BCD码表示下列各数。(1)248(001001001000)(2)359(001101011001)(3)84(10000100)(4)501(010100000001)1.8用4位循环码表示下列各十进制数。课后答案网(1)25(00110111)(2)93(11010010)(3)128(000100111100)www.hackshp.cn(4)307(001000000100)1.9求下列二进制码对应的4位循环码。(1)1011(1110)(2)0101(0111)(3)11011110(001100110011)(4)10110110(000111000011)1.10求下列4位循环码对应的二进制码。(1)0100(111)(2)0010(11)(3)01101010(1001100)(4)11001001(10001110)1.11写出下列ASCII对应的文字和符号。(1)0100001(i)(2)1100100(d)(3)0011011(ESC)(4)0111101(=)1.12写出下列文字或符号对应的ASCII。(1)R(1010010)(2)DEL(1111111)(3);(0111011)(4)&(0100110)khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第二章习题及解答2.1试将逻辑函数F(A,B,C)=AB+BC+AC展开成最小项表达式。F(A,B,C)=AB+BC+AC=AB(C+C’)+(A+A’)BC+A(B+B’)C=ABC+ABC’+ABC+A’BC+ABC+AB’C=ABC+A’BC+AB’C+ABC’2.2试将逻辑函数F(A,B,C)=(A+B)(A+C)展开成最小项表达式。F(A,B,C)=(A+B’)(A+C)=AA+AC+AB’+B’C=A+AC+AB’+B’C=A(1+C)+AB’+B’C=A+AB’+B’C=A(B+B’)(C+C’)+AB’(C+C’)+(A+A’)B’C=ABC+ABC’+AB’C+AB’C’+AB’C+AB’C’+AB’C+A’B’C=ABC+ABC’+AB’C+AB’C’+A’B’Ckhdaw.com2.3求下列函数的反函数。(1)F=A+BC++D+E1F1’=(A+(B+C’+(D+E)’)’=A’(B+C’+(D+E)’)(2)F=B[B(A+CD)+E]A"C"E+A"DE+B"2F2’=B’+(B(A+CD’)+E’)’=B’+(B(A+CD’)’E=B’+(B’+(A+CD’)’)E=B’+B’E+(A+CD’)’E=B’(1+E)+(A+CD’)’E=B’+(A+CD’)’E=A"C"E+A"DE+B"2.4求下列函数的对偶式。(1)F=A+BC++ABC1F1(对偶)=(A’B’C’)’(A+B+C)(2)F=A+BC++ABC2F2(对偶)=(A’B’C’)’(A’+(B+C’)’)’2.5用代数法将下列函数化简成最简与或式。(1)F1=ABC课后答案网+A+B+CF1=1反复用Awww.hackshp.cn’+AB=A’+B公式(2)F=A(AC+BD)+B(C+DE)+BC2F2=AA’C+ABD+BC+BDE+BC’=ABD+BDE+B=B(AD+DE+1)=B(3)F3=AC+ABC+BC+ABCF3=(A(B+B’)C+A’BC+B’C)’+ABC’=((A+A’)BC+(A+1)B’C)’+ABC’=C’+ABC’=(1+AB)C’=C’(4)F=(A⊕B)C+ABC+ABC4F4=AB’C+A’BC+ABC+A’B’C=AC+A’C=C(5)F5=∑m(5,7,13,15)F5=A’BC’D+A’BCD+ABC’D+ABCD=A’BD+ABD=BD(6)F=ABC+(C+B)6F6=A+B’+C’+C’+B=A+C’+1=1(7)F=AB+ABC+A(B+AB)7F7=((AB’+ABC)+AB+AB’)’=A(B’+BC)A’=0khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com (8)F=(A+B+C)(A+BC)+8F8=(A+B)(A+B)+(A+B)C+(A+B)C’+CC’=A+B2.6用卡诺图法将下列函数化简成最简与或式。(1)F=B(AD+AD)+C(AD+AD)+BC1F1=ABD’+A’BD+A’C’D’+AC’D(2)F=ABCD+ABD+BCD+ABC+BD+BC2F2=B(3)F(A,B,C,D)4=∑m(1,3,6,7,10,11,13,15)=A’B’D+A’BC+ABD+AB’C(4)F5(A,B,C,D)=∑m(3,4,5,7,8,9,13,14,15)khdaw.com=A’BC’+A’CD+AB’C’+AC’D+ABC(5)F6(A,B,C,D)=∑m(0,1,4,7,9,10,13)+∑d(2,5,8,12,15)=C’+BD+B’D’(6)F7(A,B,C,D)=∑m(3,5,9,10,12,15)+∑d(0,l,13)=A’B’D+ABC’+BC’D+ABD’+AC’D+AB’CD’(7)F8(A,B,C,D)=∑m(1,5,8,9,13,14)+∑d(7,10,11,15)=AB’+C’D+AC2.7有A、B、C三个输入信号,当其中有2个或2个以上为1时,电路输出F为1,其余情况下输出为0,试列出真值表,并写出逻辑表达式(不用化简)。ABCF0000课后答案网001001000111www.hackshp.cn1000101111011111F=ABC+ABC+ABC+ABC2.8某逻辑电路的输入A、B、C和输出F的波形如图2-18所示,试写出F的最简与或表达式。F=A’B’C+ABC’+A’BC=A’C+ABC’图2-18习题2.8波形图khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第三章习题及解答3.1TTL门电路与CMOS门电路的结构形式与对应逻辑符号有什么关系?TTLTTTLTL与CMOSCMOCMOSS电路结构不一样,但只要是逻辑功能相同,逻辑符号就相同。3.2OC门、OD门与三态门各有什么特点?OCOC门是集电极开路门,ODOD门是漏极开路门,它们都有相同的特点:无高电平输出,只有外接电源和上拉电阻才会有高电平,OCOC门和ODOD门可用于“线与”,即所有输出端接在总线上。三态门有高、低电平及高阻三种状态,它也可以接在总线上,但三态门与OCOC门、ODOD门的区别在于:OCOC门和ODOD门可以一直挂在总线上正常使用,器件不会损坏;而三态门只能在工作时,(EN=1EN=EN=11)才能打开逻辑门,不工作时必须关闭,否则损坏器件。3.3什么叫带负载能力?什么叫灌电流负载和拉电流负载?集成电路带负载的能力是怎样估算的?khdaw.com驱动负载的能力叫带负载能力,输出为高电平时带负载和输出低电平带负载两种,负载电流流入驱动门称为灌电流负载,负载电流流出驱动门称为拉电流负载。带负载能力是以驱动同类型门电路负载数量称为带负载能力。只要满足下列公式:⎧⎪VOH…V"IH⎧⎪VOL„V"IL高电平⎨低电平⎨⎪⎩IOH…I"IH⎪⎩IOL…I"IL3.4什么叫TTL电平?什么叫CMOS电平?CMOS的高电平是怎样确定的?TTLTTTLTL电平:VOH≥2V,VOL≤0.8V,VTH==1.11.11.1~~~1.4V1.4V1.4V;(VTH阈值电压)CMOSCMOCMOSS电平:VOH≤≤VVDDV,VOL≤0.0.020202VV,VTH==1/21/21/2VDD。CMOSCMOCMOSS高电平基本与电源电压VDD一样,只是略比电源电压低一点(约低0.02V0.02V左右)。3.5集成电路的封装形式与那些因素有关?集成电路的封装形式与器件本身集成度、运行速度、器件功耗、输入输出管脚数等众多因素有关。课后答案网www.hackshp.cnkhdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第四章习题及解答4.1数字电路设计的基本步骤有哪些?每一步完成的目标任务是什么?见书P48P48。4.2组合逻辑电路的设计为什么可以从卡诺图直接进入?因为逻辑函数可以有多种有表达形式,卡诺图就是其中的一种,因此,直接从卡诺图直接进入设计就是最直接、最有效的一种方式,它简化了设计,更便于化简。4.3某车间有A、B、C、D四台电动机,今要求:(1)A必须开机;(2)其他三台电动机中至少有两台开机,如不满足上述要求,则指示灯熄灭。设指示灯亮为“1”,熄灭为“0”,电机开机为“1”,停机为“0”,试用与非门组成指示灯控制电路。根据题意,用卡诺图表示电机运行的状态,求出输出表达式:F=F=ABC+ABD+ACDABCABC+ABD+ACD+ABD+ACD用与非门实现逻辑:F=ABC+ABD+ACDkhdaw.comCDCDAB000111100000011110011110=ABCABDACD000000000A010000000B110011111C题4.3图F100000110D4.4试设计一个供4组使用的智力抢答器电路。设4组变量分别为:A、B、C、D。输出用4个发光二极管,表示抢答结果,灯亮答成功。据题意,这四个变量是一个互斥的。表达式:200Ω200Ω200Ω200ΩF=ABCDABCDABCDABCD+++课后答案网74LS04www.hackshp.cn74LS205VAB500ΩC500ΩD500Ω500Ω题4.4图逻辑电路4.5电话室需对4种电话编码控制,按紧急次序排列优先权由高到底依次为火警电话、急救电话、工作电话、生活电话,其编码为11,10,01,00,试设计该编码电路。设火警电话、急救电话、工作电话、生活电话为变量A、B、C、D,编码输出量为X、Y。khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 列出编码真值表:输入输出ABCDXY1×××1101××10001×010001004.6试用3线-8线译码器和门电路实现以下函数:⎧Y=AC⎧Y=ABC+ABC=(5,7)11∑⎪最⎪⎪⎨Y2=ABC+ABC+BC小⎨Y2=ABC+ABC+ABC+ABC=∑(1,3,4,7)⎪项⎪khdaw.com⎩Y3=BC+ABC⎪⎩Y3=ABC+ABC+ABC=∑(0,4,6)5V74HC13874H74HC138C138Y1Y1C116138译码器是最小项的非输AVCCB2BY015Y2Y2出,可以实现三变量以下3CY114AY213的任意函数。输出可表示5V6G1Y3124~G2AY411为“与非与非”表达式。5~G2BY510Y3Y3Y698GNDY77题4.6图用74HC13874H74HC138C138译码器实现4.7试用四选一多路选择器实现函数Y=ABC+ACBC+。1.求出最小项、及最小项反函数非表达式:Y=ABC+AC+BC=∑(0,2,3,4,7)D0YmCD1课后答案网D2~WY=ABC+ABC+ABC+ABC+ABCD3BAB=ABC+ABC+ABC=∑(1,5,6)www.hackshp.cnmA~G2.对比四选一多路选择器表达式:题4.6逻辑图Y=ABD+ABD+ABD+ABD0123我们发现用原函数无法用一个四选一选择器实现,但反函数只有三个最小项,因为实际的数据选择器,它们都有两个互补的变量输出,因此从反变量输出端(~W)((~W)~W)就可以达到要求了。取D=D=C,D=CD,=0,得到了:0231Y=ABC+ABC+ABC4.8试用74HC283四位二进制加法器及逻辑门电路,实现将8421码转换成8421BCD码。当输入8421码在A~F时,用加法器加6(0110),同时在输出加一个数码显示,用加法器的进位端控制其显示,就可以实现一位16进制数转换为十进制数。khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 设四位二进制代码变量为8421码生DCBADCBDCBAA,要转换的十六进制成器件:5V数A~FAA~F~F不是BCDBBCDCD的编码:1010、1011、11001101、111110、111112A4SUM_4103AVCC1614A3SUM_3134B3A2SUM_21在这六个数中,只要对应二5CQA145A1SUM_146DQB13QC1211B4进制数变量值:DCDC=1或7ENPQD1115B310ENT2B2RCO156B1DBDB=1就是十六进制A~FAA~F~F。2~LOAD1~CLR7C0C49在满足此条件下,加法器加9CLK8CPGND74HC2836,输出端就成为了BCBCDD74HC16174H74HC161C161题4.7逻辑实现电路码。4.9分析图4-77所示的电路的逻辑功能,写出Y1、Y2的逻辑函数式,列出真值表,指khdaw.com出电路完成什么逻辑功能。列出真值表输入输出CBAY1Y200000001100101001101100101010111001图4-77习题4.9的逻辑电路图11111Y=A⊕B⊕C1表达式:Y=AC+AB+BC2功能:如果将C作为低位进位位,ABAB各为一位二进制数,则该电路一个全加器,其中Y1是加法结果,课后答案网Y2是进位位。4.10某组合逻辑电路如图www.hackshp.cn4-78所示,试分析该电路的逻辑功能。F=AC+AB+BC功能:如果三个输入相同,则输出为0,如果三个输入不同则输出为1。图4-78习题4.10的逻辑电路图4.11图4-79所示为PAL的一种可编程输出结构,若要求Y=A⊕B⊕ABC,试用“×”符号对该电路矩阵进行标记,得到正确的编程结果。××××××&≥1××××××××××××=1Y×××××AABBCC题4.114.11PALPALPPALAL的一种可编程输出结构khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 4.12已知某组合电路的输入A、B、C和输出F的波形如图4-80所示,试用正逻辑约定写出F的最简与或表达式。F=ABC+ABC+ABC+ABC+ABC=ABC+ABBC++AC图4-80习题4.12的波形图4.13试用2片74HC138译码器产生函数F(A,B,C,D)=∑m(1,2,4,7,10,12,13,15)。写出khdaw.com分析过程并在图4-81上正确连线。7474HCHCHC1381381138385VD116AVCCC2BY015B3CY114Y213A6G1Y3124~G2AY4115~G2BY5108Y69GNDY77F161AVCC2BY0153CY114图4-81习题4.13的连线图Y2136G1Y3124~G2AY4115~G2BY510函数需4变量的译码器,而138只有3Y698GNDY77个变量,因此必须将两个138译码器组合在一起使用;函数中A变量是高位,7474HCHCHC138138113838而138译码器中课后答案网C是高位,因此变量的题4.13的逻辑连线图权应当注意。输出应当是与非与非表达式。www.hackshp.cn4.14请分析如图4-82所示的由TTL元器件组成的电路,填写所列的真值表题4.144.14.144真值表ACF000题4.14图4-82010对于TTL电路,输入悬空相当于接高电平。101110悬空01悬空10khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第五章习题及解答5.1根据图5-27(a)和图5-27(b)所示的电路,分别在表5-6和表5-7的空格中填入正确的数值或符号。图5-27习题5.1电路图解答:表5-6图5-27(a)对应表格表5-7图5-27(b)对应表格khdaw.comRDSDJKQn+1RDSDDQn+101×,×01,0×11011101105.2假设如图5-28所示的各触发器的起始状态均为0,试画出在CP作用下Q端的波形。图5-28习题5..2电路图解答:CP课后答案网Q1Q23www.hackshp.cnJ=1Q3J=0Q4习题5..2解答5.3电路和R、S的波形如图5-29所示,试画出相应Q的波形。5.4电路如图5-30所示,设Q1、Q2的初始状态均为0,试画出在CP的作用下,Q1和Q2的波形图。图5-29习题5..3图图5-30习题5.4电路图khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 解答:RCPSQ1QQ2习题5..3解答习题5.4解答5.5试画出图5-31(a)所示的电路在图5-31(b)的激励信号的作用下,Q和Z的波形(设Q的初始状态为0)。khdaw.com图5-31习题5..5图解答:CPXQZ习题5..5解答5.6逻辑电路如图题5-32所示,已知CP和X的波形,试画出Q1和Q2的波形。触发器的初始状态均为0。课后答案网X=111J=1JQ2Q1(CP)’C1C1www.hackshp.cn1K1KQ’2Q’1(CP)’X解答:Q1`Q2图5-32习题5..6图`5.7逻辑电路如图5-33所示,已知CP"和A的波形,画出触发器Q端的波形,设触发器的初始状态为0。A1Q1J1(CP)’C1(CP)’1KQQ’’RA&khdaw.com图5-33习题5..7图若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 解答:(CP)’AQ15.8逻辑电路和各输入信号波形如图5-34所示,画出两触发器Q端的波形。两触发器的初始状态均为0。C’Q’1R(CP)’1DC1Q1ABRQ’2khdaw.comB1KC’(CP)’C1图5-34习题5..8图1JQ2A(CP)’A解答:BC’Q1Q25.9逻辑电路和输入信号波形如图课后答案网5-35所示,画出各触发器Q端的波形。触发器的初始状态均为0。1Q11S(CP)1www.hackshp.cnC111RQ’1(CP)1111JQ2(CP)2(CP)2C11KQ’2图5-35习题5..9图解答:(CP)11(CP)2Q1Q2khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第六章习题与解答6.1什么是时序逻辑电路,它有什么特点?(见书P110P110)6.23位二进制加法计数器最多能累计8个脉冲。若要记录12个脉冲需要4个触发器。6.3试用两片同步BCD加法计数器74HC160构成六十进制同步计数器。74HC160的外部引脚排列如图6-22所示,功能表如表6-4所示。DCD_HEXDCD_HEX5V5V74LS160N74LS160N316316AVCCAVCC4B4B5CQA145CQA146DQB136DQB13khdaw.comQC12QC127ENPQD117ENPQD115V10ENT5V5V10ENTRCO15RCO159~LOAD9~LOAD1~CLR1~CLR2CLK2CLK8GND8GND74LS20D题6.3逻辑图60进制就是0~590~590~59计数器,用两个BCDBBCDCD码计数器74HC16074H74HC160C160,当十位数计到5(0101),个位计到9(1001)9(1001)时,将十位数计数器写(LOADLOALOADD)零(0000)(0000)就可以了,个位不必,因为它是BCDBBCDCD码计数器,到了1001下一个数一定是零(0000)。6.4试用一片同步课后答案网4位二进制加法计数器74HC161构成一个可变模计数器,当控制信号M=0时按十六进制计数,当控制信号M=1时按十进制计数。74HC161的外部引脚排列如图6-22所示,功能表如表6-4所示。www.hackshp.cn7474HCHC161116161采用可变模计数器的关键是控制开关M,3AQA144BQB13161是一个16进制计数器,M=0MM=0=0时自然计5CQC126DQD11数,当M=1MM=1=1时,可采用置零、置数两种模式715ENPRCO5V10ENT计数。如果置零计数范围:0~10[0~10[0~10[异步置零不9~LOAD会出现10(1010)],实际就是0~90~90~9十进制。1~CLR27474HCHC0000CLK如果时置数:0~90~90~9就可以了。也可以1~101~101~10、5V2~112~112~11、…6~156~156~15。074HCHC3322M1题6.4逻辑图6.5试用JK触发器和与非门设计一个同步时序电路,该电路具有如下功能:它有一个输入端X及一个输出端Z,当连续输入3个数(011)B时,则在下一个时钟脉冲到达时,就有Z=1;其他情况Z均为0。khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 列出状态转换图列出状态转换表:0/01/0nnQn+1Qn+1/ZX/Z000001QQ10100/0状态分配:X=0X=10/01/10/0000000000///0000111///00000→A(00)AA(00)(00),1/0011111001→B(01)BB(01)(01),001100000///0011000///111/0011→C(10)CC(10)(10),110000000///001111//00111→D(11)DD(11)(11)111100000///0011111///00按照JK触发器形式化简:Q1Q0Q1Q0Q1Q000011110000101111111101000000101011111111010000000000000000101111101110100Q1(a)Q0(b)Z(c)状⎧Qn+1=XQQnn+XQnJ=XQnK=X1011101khdaw.com⎪态⎪n+1nnnn⎨Q=XQ+XQQJ=XK=XQ方0010011⎪程nn⎪Z=XQQ01⎩Q0Q1Q15V5VXZ4~1PR4~1PR351J1Q351J1Q1逻1CLK11CLK261K~1Q26辑1K~1Q15~1CLR15~1CLR图CPCP验证:电路可以自启动6.6某同步时序电路的状态转换图如图6-36所示,该时序电路是(A)。A.同步五进制计数器;B.同步四进制计数器;C.同步八进制计数器课后答案网www.hackshp.cn图66-36-36某同步时序电路的状态转换图6.7十六进制计数器74LS161的管脚图如图6-37所示,试设计一个十进制的计数器,要求有进位输出。5V如果采用前置数方式十进制,肯A定没有进位输出,如果是后置数316AVCC4BB则有进位位保留,但是后置数对514CQACo6DQB13于显示仍存在一定缺陷。因此采QC12C7ENPQD11用置数方式十进制(0~90~90~9)利用置10ENTDRCO15数信号作为进位信号,但是用清9~LOAD1~CLR零方式就存在问题了,因为清零2CLK8方式为异步,置数方式为同步。GND题6.7逻辑图6.8分析如图6-38所示时序电路的逻辑功能。要求写出分析过程,写出状态转换表,khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 画出状态转换图,并说明电路是否能自启动。状态转换表nnnn+1n+1n+1QQQQQQ321321000001001010010011011110100101101110图6-38题6.8的时序电路110111111000驱动方程状态方程状态转换图JK⎧Qn+1=Qn000→001→010⎧1=1=111⎪⎪⎪↑↓nnnn+1nnnnn⎨J=QK=QQ⎨Q=QQ+QQQkhdaw.com21213212132111←110←011⎪nn⎪n+1nnn⎩J3=K=QQQ=Q⊕QQ↑312⎪⎩3312可以自启动100→1016.9试用如图6-39所示4位同步二进制计数器74LS163(同步清0,同步置数),用两种方式设计一个十二进制计数器,要求有进位输出。5V5V5V74HC1635V74HC163因为是同步16163AVCC3AVCC清零,同步44BB514514CQACQA6DQB136DQB13置数,故清1212QCQC7ENPQD117ENPQD11零、置数接10ENT10ENT1515RCORCO法反馈方式2~LOAD2~LOAD1~CLR1~CLRCPCPCP相同。9CLK9CLK88GNDGND课后答案网CoCoCowww.hackshp.cn题6.9逻辑图6.10分析如图6-40所示的时序电路的逻辑功能。要求写出分析过程,写出状态转换表,画出状态转换图,并说明电路是否能自启动。状态转换表nnnn+1n+1n+1QQQQQQ321321000011001011010101011111100001101000驱动方程状态方程110101⎧J=1K=Qn⎧Qn+1=Qn+QQnn1111101131131⎪⎪⎪nn⎪n+1nnnn001⎨J=QK=Q⎨Q=QQ+QQ232123212↓⎪⎪nnn+1nnnn000→011→111⎪J3=QK=Q⎪Q=QQ+QQ⎩232⎩32323↓五进制计数器010→101←110khdaw.com状态转换图若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 6.11路及其输入信号X的波形如6-41所示,试画出输出信号Z及Q的波形。状态、输出表达式:n+1nnQ=XQZ=X⊕Qkhdaw.com习题6.11波形图6.12图6-42所示为一个逻辑电路,试画出该电路的输出波形QD、QC、QB、QA,并分析其逻辑功能,74HC194的功能如表6-2所示。6.13如图6-43所示,FF0为下降沿触发器的JK触发器,FF1为上升沿触发的D触发器,试对应给定的条件,画出Q0、Q1的波形图课后答案网www.hackshp.cnQJKQD题6.12波形图题6.13波形图khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第七章习题及解答7.1什么叫主存储器?有什么特点?有什么用途?解答:主存储器可以写入数据、保存数据,需要时又可读出数据。CPU可直接编程访问的主存储器。CPU要求能够个别地、独立地、平等地、随机地读写每个字单元,存储访问时间与地址无关。主存储器直接和CPU交往,对其首要求是速度快,其次是容量大。7.2SRAM靠什么原理存储信息?DRAM又靠什么原理存储信息?画出它们的结构图?解答:随机读写存储器按存储元器件在运行中能否长时间保存信息来分,有静态存储器(khdaw.comSRAM)和动态存储器(DRAM)两种。静利用双稳态触发器来保存信息,只要不断电,信息不会丢失;动态存储器利用MOS电容存储电荷来保存信息,使用时需不断给电容充电才能使信息保持。静态存储器的存储单元的电路由双稳态触发器组成。双稳态电路依靠自身的交叉反馈保持原状态(所存信息)不变。读出时根据位线上有无电流判明原存信息。上述读出过程并不改变双稳态电路原来状态,称为非破坏性读出。图SRAM六管静态存储单元动态MOS存储器的存储原理是,利用芯片中电容上存储电荷状态的不同来记录信息。通常定义为电容充电至高电平,为课后答案网1;电容放电至低电平,为0。采用电容存储电荷方式来存储信息,不需要双稳态电路,因而可以简化结构。完成充电之后可将MOS管断开,即可使电容上电荷的泄放电流极少,降低了芯片的功耗。这两点都使芯片的集成度得到提高。www.hackshp.cn虽然在完成充电(写入1)后即将充电回路的MOS管断开,但时间长了会由于电荷的泄漏丢失存储的信息。因此每隔一定时间就需要重写一遍,也就是对电容重新充电,称之为动态刷新。由于定期刷新所做成的随机读写存储器就简称为DRAM。图DRAM单管动态存储单元7.3设有一个具有14位地址和8位字长的存储器,试回答下列问题。(1)该存储器能存储多少字节的信息?解答:214=16×210=16K,所以该存储器能存储16K字节的信息。(2)如果存储器由2K×8位SRAM芯片组成,需要多少块?解答:16/2=8,所以需要8块2K×8位SRAM芯片。khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com (3)需要多少位地址作芯片选择?解答:在原来的2K×8位SRAM芯片的地址线数量上再增加三条地址线,因为23=8,所以可寻址8片2K×8位SRAM芯片。7.4已知某32位计算机主存储器采用半导体存储器,其地址码为14位,若使用2K×8位的DRAM芯片组成该计算机所允许的最大主存储器空间,并选用模块板结构形式,问:(1)若每个模块板为4K×16位,共需几个模块板?因为14位地址码的半导体存储器可寻址16K地址码,16K/4K=4,32(位)/16(位)=2所以需要4×2=8块模块板。(2)每个模块板内共有多少DRAM芯片?4K/2K=2,16(位)/8(位)=2,所以每个模块板内共有2×2=4块DRAM芯片。主存储器共需8×4=32片2K×8位的DRAM芯片。由于23=8,8位×4=32位,所以CPU通过另外增加的3根地址码寻址线和共计32位的数字线选择各模块板。7.5有一个64K×16位的存储器,由16K×8位的DRAM芯片构成。试回答下列问题。khdaw.com(1)总共需要多少DRAM芯片?解答:64K/16K=4,16位/8位=2所以共需要4×2=8块DRAM芯片。(2)设计此存储体组成框图。000解答:.16K×8位..16K-116k...32K-132K...(3)写出地址范围?(见右图)48K-148K...64K-17.6要求用16K×8位SRAM芯片设计64K×32位的存储器。SRAM芯片有两个控制端有效时,该芯片选中。当W/R=1时执行读操作,当W/R=0时执行写操作。D31-24解答:D23-16课后答案网D15-8D7-0www.hackshp.cnR/W’16K×8位C’0C’1C’2C’3A13-0译码器khdaw.comA15A14若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 7.7用32K×8位的EPROM芯片组成128K×16位的只读存储器,回答下列问题。(1)数据寄存器多少位?解答:16位(2)地址寄存器多少位?解答:在原4片32K×8位的EPROM芯片内部地址寄存器的基础上再扩展128K/32K=4位地址寄存器。(3)共需多少个EPROM芯片?解答:128K/32K=4,16位/8位=2,所以共需4×2=8个EPROM芯片(4)画出此存储器组成框图。解答:000.32K×8位..32K-132k...64K-164K.khdaw.com..96K-196K...128K-1课后答案网www.hackshp.cnkhdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第八章习题及解答8.1说明一个3位并行比较式A/D转换器是如何工作的,设计A/D转换器中的编码器。解答:3位并行比较型A/D转换器原理电路如图3-1所示。它由电阻分压器、电压比较器、寄存器及优先编码器组成。分压器将基准电压分为VREF/15、3VREF/15、…、13VREF/15不同电压值,分别作为比较器的参考电压。输人电压为vi的大小决定各比较器的输出状态。例如,当0<=vi<=VREF/15时,输出状态为000;当3VREF/15<=vi<=5VREF/15时的输出为011。比较器的输出状态由D触发器存储,经优先编码器编码,得到数字量输出。khdaw.com8.2说明精度和量化误差的概念与相互关系,选用最精密、性能最高的元器件能否减课后答案网小量化误差?为什么?解答:www.hackshp.cn精度分为绝对精度和相对精度。绝对精度指的是在输出端产生给定的数字代码,实际需要的模拟输入值与理论上要求的模拟输入值之差(由于量化,在一定范围内的所有模拟值都产生相同的数字输出,所以,这里模拟值指的都是该范围内的中间模拟值)。相对精度指的是满度值校准以后,任一数字输出所对应的实际模拟输入值(中间值)与理论值(中间值)之差。而量化误差指的是转换中由于整量化所产生的固有误差。选用最精密、性能最高的元器件不能减小量化误差。因为整量化所产生的固有误差与元器件精密、性能高低无关。8.3选择D/A和A/D转换器时要考虑哪些重要性能?解答:1.分辨率2.误差3.转换时间4.精度5.温度系数8.4具有6位分辨率、输出电压的范围为0~10V的D/A转换器,其最低有效位的权重是多少?解答:1/268.5具有5位分辨率、输出电压的范围为0~5V的D/Akhdaw.com转换器,其最高有效位的权若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 重是多少?解答:1/28.6A/D转换器与D/A转换器的分辨率和精度有何区别?解答:精度和分辨率是两个截然不同的参数。分辨率取决于转换器的位数,而精度则取决于构成转换器和各个部件的精度和稳定性。8.7试从工作原理和性能特点方面比较逐次逼近式、双积分式和并行比较式3种A/D转换器。解答:在并行比较、逐次逼近和双积分3种ADC方案中,并行比较方案速度最快,但成本也最高,只在要求转换速度很快情况下使用;双积分方案成本低,精度高,但转换时间很长,只能用于对直流或慢速变化信号的转换;在通常情况下,逐次逼近方案是一个较折中的选择。khdaw.com课后答案网www.hackshp.cnkhdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 第九章习题与解答9.1在图9-35所示的4个电路中,设每个门的传输时间是tpd,能产生振荡的是(a)、(c),它们振荡的频率分别是1/3tpd、1/5tpd。图9-25习题9.1电路图9.2图9-26所示为一个施密特触发器,试说明电路的工作原理。khdaw.com如果是施密特触发器,输入的信号应该是三角波或正弦波,当输入信号增加时,VO1输出端前端与非门有两个输入,一个是外输入,一个是通过VO2串接R2反馈过来的,同时该输入端还要受输入串接R1和VD1共同影响,因此与非门实际输入端的电压就会滞后输入电压,该现象同时在信号上升和下降时发生,因此就有了两个阈值点,产生了施密特触发器效果。VT+Vi1Vo1VVo1o1Vi1VT-Vi2VVi2i2VO1Vo2VVo2o2Vi2图9-26习题9.2电路图题9.2输出波形9.3图9-27所示为由TTL门构成的电路,这是什么电路?画出Vo1、Vo2及Vo3的波形。VO1课后答案网VO2振荡电路VO3图9-27习题www.hackshp.cn9.3电路图9.4在图9-28所示由TTL门构成电路中,假设输入信号Vi为三角波,要求:(1)画出A,B两点波形;(2)若三角波振幅为3V,电压变化率为±1V/s,求B点输出正脉冲宽度tP。VAVitP==500mS500mS500mSVB图9-28习题9.4图题9.4波形图9.5试述施密特触发器的工作特点和主要用途。施密特触发器有两个阈值点:VT+和VTT−−,输出矩形波非常陡,通常用于波形振荡、整形等场合。khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com 9.6已知反相输出的施密特触发器输入信号波形如图9-29所示,试画出对应的输出信号波形。施密特触发器的转换电平VT+,VT−已在输入信号波形上标出。VO题9.6波形图9.7图9-30是用两个555定时器接成的延时报警器。当开关S断开后,经一定的延时后扬声器开始发出声音。如果在延时时间内将khdaw.comS重新闭合,扬声器不会发出声音,试详细分析其功能。图9-30延时报警器电路图这是一个延时报警电路,开关课后答案网S接通时,第一级定时器输出高电平,经反相后输出低电平,(RST=0RSTRST=0=0)使第二级定时器停止工作;当S断开以后,第一级定时器开始延时,当电容C1C1充至2/32/3VccVccVVcccc后,输出端(OUTOOUTUT)低电平,反相后的高电平使第二级定时器开始工作(RST=1RSTRST=1=1)。第二级定时器接成了多谐振荡器,一旦工作蜂鸣器就响了,如果再次将www.hackshp.cn开关S接通,第一、二级定时器都停止工作,蜂鸣器也就停止鸣响。9.8图9-31是由集成运放组成施密特电路,设运放输出电压为±10V,R1=20kΩ,R2=5kΩ,VP=1V,如果输入为0~10V、100Hz三角波,分别求图9-31(a)、图9-31(b)的传输特性,并画出示意图;如果输入是的分别是正弦波、矩形波,可否求出其传输特性?V=10VOV=10VOHV=−10VOL图9-31集成运放组成的施密特电路双限比较器电路,也是施密特反相器电路,R5对于图(a)2,V=±V=±×10=±2VTH±OR+R205+12khdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com RR20512V=V+V=×+1×10=2.8VTH+POHR+RR+R205+205+1212图(b)RR20512V=V+V=×−1×10=−1.2VTH−POLR+RR+R205+205+1212图(a)图(b)如果输入信号是三角波、方波,则可以求出其施密特传输特性,如果输入的是矩形波,该电路仅仅作为一个反相器而已。从运放反相端输入就是施密特反相器,如果从同相端输入,就是施密特同相器。khdaw.com传输特性课后答案网www.hackshp.cnkhdaw.com若侵犯了您的版权利益,敬请来信通知我们!℡www.khdaw.com'

您可能关注的文档