• 439.30 KB
  • 2022-04-22 11:30:53 发布

数字逻辑与数字系统 第四版 (白中英 著) 科学出版社 课后答案 chapter6 课后答案【】

  • 17页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'课后答案网,用心为你服务!大学答案---中学答案---考研答案---考试答案最全最多的课后习题参考答案,尽在课后答案网(www.khdaw.com)!Khdaw团队一直秉承用心为大家服务的宗旨,以关注学生的学习生活为出发点,旨在为广大学生朋友的自主学习提供一个分享和交流的平台。爱校园(www.aixiaoyuan.com)课后答案网(www.khdaw.com)淘答案(www.taodaan.com) 第六章习题答案1现有D触发器组成的三个n位寄存器,需要连接起来传送数据。当控制信号Sa有效时,执行(Ra)→Rc的操作;当控制信号Sb有效时,执行(Rb)→RC的操作。试写出连接电路的逻辑表达式,并画出逻辑电路图。RcLDCSaSbRaRbRc=Ra·Sa·LDC+Rb·Sb·LDC2现有D触发器组成的四个8位寄存器,要求它们之间实现数据传送,试设计连接电路。BUSABUSBBUSCBUSDBUSABCDLDALDBLDCLDD3ALU的输出端一般带有一个移位器,其功能为:①ALU输出正常传送;②ALU输出左移1位(ALUi+1)传送;③ALU输出右移一位(ALUi-1)传送。试设计移位器的逻辑电路。 4一个系统有A,B两条总线,为了接收来自任何一条总线上的数据并驱动任何一条总线,需要一个总线缓冲寄存器。请用D触发器、与非门和三态门设计一个总线缓冲寄存器。ABUSBBUSRABUSRBBUSR(缓冲寄存器)LDRABUSRBBUSRABUSBBUS5试构造能完成下列程序操作的ASM图:(a)ifX=N,then…。(b)ifX≠N,then…,else…。 0(c)forXfromAtoB,stepC,do…。0(d)whileX=Y,do…。 输入X输入Y比较X和Y0X=Y?1(e)ifX>NORXN即0或1比较X和N标志O保留结果值XN)=1(XB)=101A>B=0c10LDRb根据NS=PS·C公式,激励方程表达式为:d11CAPB(D)=BA+BA+BA·(A>B)A(D)=BA+BA+BA·(A>B)=A+BA·(A>B)01A>B③电路图 LDRbLDRaCAPT2控制信号译码逻辑BBAA0101BADD请OT1BABABA(A>B)ABA(A>B)④控制信号表达式:LDRb=(状态a+状态c)T2=(BA+BA)T2=AT2LDRa=状态b·T2=BAT2CAP=状态d=BALDRbLDRaCAPAT2BAT2BA7.根据题6的条件,设计一个MUX型控制器。①ASM流程图 ②状态转移表AB③电路图BAAB④控制信号表达式为:LDRB=(状态a+状态c)·T2=(BA+BA)·T2 LDRA=状态b·T2=BA·T2CAP=状态d=BA9.根据题6的条件,设计一个微程序控制器。①微程序流程图②微指令格式③定时信号T1-----打入微指令寄存器定时T2-----执行部件控制信号定时T3-----修改微地址并读出控存定时④微程序控制器电路 ⑤微程序代码10.某控制器的状态表如下表所示,其中X和Y为输入变量,试设计一个计数器型控制器。①ASM流程图与编码(Q1,Q2为两个触发器)令状态A=00,B=01,C=10,D=11 ②状态转移表③激励方程表达式利用NS=∑PS·C公式,使用D触发器。Q2(D)=Q2Q1·XY+Q2Q1·XY+Q2Q1·XY+Q2Q1·XY+Q2Q1·XY+Q2Q1·XY+Q2Q1·XY=Q2Q1·X+Q1·XY+Q1·XQ1(D)=Q2Q1·Y+Q2Q1·XY+Q2Q1(X+Y)+Q2Q1·Y=Q2·Y+Q2Q1·X+Q2·Y ④电路图Q2Q1ΛΛQ2Q1Q1Q2Q1⑤控制信号表达式(假设为电位控制信号)F=状态A·XY+状态B·XY+状态C·XY+状态D=Q2Q1·XY+Q2Q1·XY+Q2Q1·XY+Q2Q1Q2Q1XYQ2XQ1Y11..根据题10的条件,设计一个MUX型控制器解答:1)ASM流程图与编码同计数器型控制器(见第10题答案)2)按MUX方式列出状态转移真值表 3)画出电路图12.根据题10的条件,设计一个定序型控制器解答:1)ASM流程图与计数器法相同2)使用Qa、Qb、Qc、Qd四个触发器,编码分别为Qa=1000,Qb=0100,Qc=0010,Qd=00013)状态转移真值表 4)写出激励方程NS=ΣPS·C5)画出电路图 13.设计一个累加运算系统定序型控制器解答:1)算法流程图2)状态转移真值表及激励函数表达式NS=∑PS·C(C=1,无条件转移)3)控制信号表达式4)电路图 14.设计一个累加运算系统MUX型控制器解答:1)ASM流程图2)状态转移真值表及激励表达式 NS=∑PS·C3)电路图4)控制信号表达式'

您可能关注的文档