• 931.00 KB
  • 2022-04-22 13:33:33 发布

本科毕业论文-六层双部并联电梯的PLC设计.doc

  • 73页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'烟台大学毕业论文(设计)六层双部并联电梯的PLC设计[摘要]本文介绍了由西门子S7-200PLC控制的六层电梯模型的结构、实现的功能以及西门子S7-200PLC的I/O接口分配和对电梯系统的程序设计等。通过对六层电梯控制系统的流程设计,完成了控制开关门操作和在运行模式下的呼叫提示、上下运动、启动、延时2s关门、平层泊车、开轿厢门、信号排队等控制性能。经过编写程序软件、安装硬件以及与六层双部电梯模型的联调,使得六层电梯模型能够在运转的过程中显明的表现出来。使用两台西门子S7-200PLC作为控制器交替和计算机通信结合到电梯的控制系统中去。采用MCGS组态作为上位机监控电梯运行,出现故障报警,实时数据存盘。主要实现的功能:双部并联控制、保持开门时间2S控制、本层厅外开门、门开到位后会延时2S关门、开门按钮开门、换站停靠、轿内照明控制、层楼显示字符设置、显示器、超载保护、门缝防夹保护、上下楼标示,脉宽调速(PWM)。[关键词]西门子S7-200PLC;六层电梯;双部并联;MCGS组态;脉宽调速 烟台大学毕业论文(设计)Abstract:Thisarticledescribesthesix-layerstructureparallelliftfordoubleunit,thefunctionsandSiemensS7-200PLCofI/Ointerfacesareassignedtotheelevatorsystemandprogramdesign.Wehavecompletedalotoffeaturesthroughsixelevatorcontrolsystemprocessdesign,suchasthroughacontrolswitchdooroperationandcalltipsinrunmode,theupanddownmovement,startdelay2sclose,levelingparking,opencardoorThesignalwaitinline.Connectthehardware,thecompiledsoftwareprogramsdownloadedtothePLC,thecommissioningofsixelevatorsmodelmakestheadvantagesofparalleltwinunitduringoperationoftheelevatorcanbemanifestedindistinct.ThecontrollercanachievecontroloftheelevatortogetherusingtwoPLCandcomputercommunication.MCGSasaPCmonitorelevatorrunning,failurealarm,real-timedataachievingandarchiving.Mainfunction:thetwoparallelcontrolunit,holdopentime2Scontrol,outsidethishalldoor,openthedoorinplacewilldelay2sclosed,openbuttontoopenthedoor,fordockingstations,carinteriorlightingcontrols,floordisplaycharactersetdisplay,overloadprotection,doorjamprotection,markingdownthestairs,PWMspeedcontrol(PWM).Keywords:SiemensS7-200PLC;Sixelevators;Doubleportionparallelconfiguration;MCGS;PWM 烟台大学毕业论文(设计) 烟台大学毕业论文(设计)1绪论1.1论文的背景在当今社会中,我们已经离不开电梯了。使用电梯令居民不再为居住在高层建筑而感到苦恼。由于电梯的外部呼叫信号以及自身控制规律是随机的,当乘员外呼叫电梯时,电梯判断楼层,进而响应;如果乘客已经迈入电梯后,乘客想去几层就按下相应的楼层按钮,没有人为操作时电梯门会自动延时2秒关门.关门后系统会自行判断运行方向,到达平层时箱体减速,从而能保证把轿厢停在要去的楼层上;假如还有别的楼层有呼叫,能半路停车开门。在厢体外显示运行方向及层数可由信号灯和箭头表示。总的来讲,绝大多数电梯都是以单部方式工作,但是随着高层楼宇增多,以前的单部方式越来越满足不了需求,随之而来的多部电梯并联控制出现了,并且应用现在很流行,本论文以六层双部并联电梯为例。1.2系统设计方案的步骤本论文采取的设计方案:1.用一根RS485通信总线连接两台S7-200PLC,并分别单独地写入指令并下载使两者其能够进行信息交互。2.两台电梯分别连接到PLC,一主一从。3.分别为两台PLC写梯形图程序,而后下载到对应的PLC控制器中。4.调试程序,使其够达到控制要求。5.MCGS组态作为上位机,做电梯的监控系统,做到能够控制和故障报警。1.3本设计主要的要求及内容1.3.1系统设计的主要要求——下位机部分31 烟台大学毕业论文(设计)1.数码管显示楼层数;电梯由乘客控制执行,轿内优先;内部选择信息和内外部呼叫信息都具有记忆功能,如果该请求已经得到执行择信号会自动消除;从站的外部呼叫信号都要返回主站进行询问,由主站决定由从站响应还是从站响应;运行到要去的楼层后,电梯门自动打开,这时也可以在电梯门内和门内外手动操作;厢体有重量传感器,当感知重量超出设定值时,声卡发出蜂鸣声报警同时电梯不关门不运行;我们如果改变PWM0和PWM1的设定值就能改变电梯上下行和开关门的动作速率;假设轿厢已经到达了目标平层的位置,电梯会执行开门操作同时灭掉该层的呼叫请求指示灯;启动初执行初始化操作,如果门处于打开状态则先执行关门动作;如果箱体没停在平层位置,则上行到邻近的楼层位置;由外呼叫信号而引起的开关门时,按下呼叫按钮(不论内外呼叫),轿厢门都不关门,厢内的灯开关可以控制灯的亮灭。2.用步进电机来实现电梯的上升和下降。1.3.2系统的设计的上位机部分1.界面方面:采用MCGS组态监控,实现电梯的模拟演示。各个楼层呼叫和电梯的位置能实时显示,出现故障能及时报警并存盘。2.内容方面:既能根据组态界面又能根据外部按钮控制电梯运行,如果电梯的运转出现问题时能立即停车并发出警报,组态界面会出现提示信息。3.安全性方面:组态界面设立用户名和密码验证方式,防止非法用户登录和越权操作。1.3.3设计步骤1.进行各种元器件的选择;2.PLC选型连接线路及I/O分配;3.编写下位机程序,下载调试;4.编写上位机MCGS组态程序,连接调试。1.4本章小结本章主要介绍了本设计主要的结构,从电梯的历史出发,讲述了本电梯设计思路和系统的设计方案,主要部分地系统是如何组成的以及各部分设计的内容和控制规定,结尾处简述了一下该课题设计的步骤和实施内容。31 烟台大学毕业论文(设计)2变频器的原理与应用2.1变频器2.1.1变频器的原理这里有两大类变频器:间接方式与直接方式的变频器。间接变频器先把工频交流电源整流成大小可控的直流电源,再经过逆变整流器转换成频率可变的交流,因为如此又称之为交—直—交变频器;直接方式的变频器就是把常用的交流直接转换成频率可变的交流,因此又叫做交—交变频器。本文所用三菱通用FR-D700型变频器(原理如图2.1)也属于这一类。图2.1 交-直-交变频器结构图2.1.2变频器的选型交流调速系统的设计要在保证系统指标的前提下,应该使系统结构简单、维护工作量小、成本低、技术成熟的目标来实现系统的最佳配置。参照过往经验可根据下面的原则来设计以确保该设计的高性价比:1.调速装置按负载类型选择。通常希望负载变化时速度变化小,系统工作稳定,静态速度误差小,机械特性硬。2.考虑调速经济性,优先考虑设备投资。由于电梯对安全性,可靠性要求较高,因而本课题选择三菱通用FR-D700型变频器。31 烟台大学毕业论文(设计)2.2变频调速系统控制利用FR-D700型变频器的变频调速系统框图如图2.2所示。图2.2变频调速的系统框图2.3本章小结本章主要的介绍变频调速的相关技术知识和变频器的选择,还有变频调速总体控制系统的结构,为设计下一步步骤奠定了良好的理论准备。关于变频调速的优点这里就不在鳌述。31 烟台大学毕业论文(设计)3系统控制方案的设计及概述(下位机部分)3.1PLC应用及其原理3.1.1PLC应用及其工作原理PLC是数字控制的电子系统的一种,微处理器是其核心,把计算机、自动控制、数字技术和网络通信技术有效的结合了起来,大部分用在环境恶劣的工业控制环境。PLC是可编程的逻辑存储器在控制器中的应用,在内部用来存储程序,运行运算逻辑、定时、算术和计数等操作适应用户的指令,适用于用户和控制过程,并且经由模拟式或者数字式输入/输出管控各种机械及生产过程,在实时性、信号处理时间、速度、可靠性、编程方便性、系统配置等方面有明显的独特优势;由于控制系统采用了模块化的结构,所以性价比高,维修方便,安装简单。PLC主要由CPU、存储器、I/O接口、电源以及通信接口等几大部分组合而成,如图3.1所表示的是PLC的基本构成。图3.1PLC基本构成1.CPU可以说为PLC的控制核心,其囊括了微处理器和接口控制电路,像数字运算、逻辑运算、协调系统内部各部分的工作等功能可以轻易实现。电路接口用作微处理器与主机内部的其他单元联系的纽带,匹配信号、缓冲数据、管理中断还有选择单元等为其主要性能。31 烟台大学毕业论文(设计)2.存储用户程序和系统程序是存储器的功能,能够分作程序系统存储器与程序用户存储器。3.I/O单元是PLC的CPU和现场输入/输出部件或其它外部设备之间的接口部件。I/O模块作为PLC与工业现场联系的桥梁,被控对象或生产过程的各个参数经由I/O接口能检测到。I/O单元主要有的类型:输入/输出的数字量,输入/输出的模拟量。4.两个PLC之间、PLC和远程I/O之间、PLC和计算机及其与其他智能的设备之间的通信经过通信接口实现,能把PLC用于点与点通信连接或接入以太网等。5.作为为PLC提供电源的电源部分,大多采用开关电源,因为开关电源输入宽范围的电压,良好的抗干扰性,体积小。循环扫描为PLC工作的方式,如图3.2所示的是对其内部的各种任务按顺序的进行查询、判断和执行和工作的过程。图3.2工作过程(PLC)3.2系统硬件设计3.2.1系统的外观1.该尺寸外形789毫米(长)×656毫米(宽)×2216毫米(高),结构为方柱形,楼层为六层。2.框架的模型几乎都是用铝合金材质。每个楼层外部都有一个数码管和一个指示运行方向的箭头。3.由于大部分电线布于卡槽内,显得整齐工整。31 烟台大学毕业论文(设计)4.由于这个小的电梯轿厢模型模拟真实实物,乘客进不去,所以用外部无线遥控模拟内部乘客的真实呼叫。3.2.2运动系统这个系统中,以主电梯为例,用一个收变频器控制的交流电动机控制电梯的升降,用一个小的直流电动机控制电梯门的开关。1.升降运动系统升降电动机位于电梯模型的顶部并配合有小滑轮(5个),钢丝绳贯穿于其间,钢丝绳两端分别连接着轿厢和配重,通过交流电机控制钢丝绳来拉动厢体的上下运动。交流电机通过三菱变频器采用了PWM调制脉宽的方法来控制升降速度,使得电梯升降速度可调成为可能。通过PLC编程把PWM信号脉冲经由输出口Q0.0和Q0.1来输出。我们能够变更Q0.0控制电机的上升下降。变更Q0.1的值控制开关门的调速。在每个楼层上都装有限位器,用来采集平层信号。如果厢体经过到此楼层时限位器接受到信号动作,平层限位信号就会传到PLC中。2.门拖地系统电梯的门由两部分构成:厅门和轿厢门。其中外门由厢体门带动它执行开关门。轿厢门有两个限位传感器,检测门是否开关到位,只有轿厢门处于关闭状态下电梯才能上下运动。轿厢门上有防夹人开关,当夹人开关处于接通状态下时电梯门重新打开。在轿厢的内部有一个开关式的传感器模拟超重状态,当开关式传感器接通时电梯门重新打开或者不关门,与此同时,电梯发出蜂鸣声,提示乘客电梯已经超重。3.主PLC对应接口表外一楼上呼叫I0.0外二楼下呼叫I0.1外二楼上呼叫I0.2外三楼下呼叫I0.3外三楼上呼叫I0.4外四楼下呼叫I0.5外四楼上呼叫I0.7外五楼下呼叫I1.0外五楼上呼叫I1.1外六楼下呼叫I1.2内一楼呼叫I1.3内二楼呼叫I1.4内三楼呼叫I1.5内四楼呼叫I2.0内五楼呼叫I2.1内六楼呼叫I2.2一楼限位信号I2.3二楼限位信号I2.431 烟台大学毕业论文(设计)三楼限位信号I2.5四楼限位信号I2.6五楼限位信号I2.7六楼限位信号I3.0选择1开关I3.1选择2开关I3.2遥控开门信号I3.3遥控关门信号I3.4遥控灯控制I3.5开门限位信号I3.6关门限位信号I3.7内一楼呼叫保M1.0内二楼呼叫保M1.1内三楼呼叫保M1.2内四楼呼叫保M1.3内五楼呼叫保M1.4内六楼呼叫保M1.5上下电机PWMQ0.0关门电机PWMQ0.1上行控制Q0.2下行控制Q0.3开门控制Q0.4关门控制Q0.5灯控制Q0.6一楼上呼叫灯Q0.7二楼下呼叫灯Q1.0二楼上呼叫灯Q1.1三楼下呼叫灯Q2.0三楼上呼叫灯Q2.1四楼下呼叫灯Q2.2四楼上呼叫灯Q2.3五楼下呼叫灯Q2.4五楼上呼叫灯Q2.5六楼下呼叫灯Q2.6数码管1Q3.3数码管2Q3.4数码管4Q3.5数码管8Q3.631 烟台大学毕业论文(设计)加减速用1M3.0加减速用2M3.1加减速用3VB10开门允许M0.2开关门过程M0.3开门信号M0.4开门保持M0.5开门后延时M0.6关门保持M0.7组态王关门M4.3开门前等待T37开门后等待T38错误记忆VB0上下行速度VW2开关门速度VW4上行允许V40.0下行允许V40.1电梯楼层数VB41一楼上呼叫V42.0主站的上下请求二楼下呼叫V42.1二楼上呼叫V42.2三楼下呼叫V42.3三楼上呼叫V42.4四楼下呼叫V42.5四楼上呼叫V42.6五楼下呼叫V42.7五楼上呼叫V43.0六楼下呼叫V43.1一楼上V44.0由主站执行上下电梯二楼下V44.1二楼上V44.2三楼下V44.3三楼上V44.4四楼下V44.5四楼上V44.6五楼下V44.7五楼上V45.0六楼下V45.131 烟台大学毕业论文(设计)开关门变量VB50从一楼上呼叫灯V17.0从站的灯从二楼下呼叫灯V17.1从二楼上呼叫灯V17.2从三楼下呼叫灯V17.3从三楼上呼叫灯V17.4从四楼下呼叫灯V17.5从四楼上呼叫灯V17.6从五楼下呼叫灯V17.7从五楼上呼叫灯V18.0从六楼下呼叫灯V18.1从一楼限位信号V19.0从二楼限位信号V19.1从三楼限位信号V19.2从四楼限位信号V19.3从五楼限位信号V19.4从六楼限位信号V19.5从上行允许V20.0从下行允许V20.1从电梯楼层数VB21从一楼上请求V22.0从二楼下请求V22.1从二楼上请求V22.2从三楼下请求V22.3从三楼上请求V22.4从四楼下请求V22.5从四楼上请求V22.6从五楼下请求V22.7从五楼上请求V23.0从六楼下请求V23.1从一楼上执行V24.0从二楼下执行V24.1从二楼上执行V24.2从三楼下执行V24.3从三楼上执行V24.4从四楼下执行V24.5从四楼上执行V24.6从五楼下执行V24.731 烟台大学毕业论文(设计)从五楼上执行V25.0从六楼下执行V25.1从一楼上V26.0主站的命令。v26.0=1表示从二楼下V26.1由从站完成一楼上的任务从二楼上V26.2从三楼下V26.3从三楼上V26.4从四楼下V26.5主站写。从四楼上V26.6从五楼下V26.7其他信号的作用类推。从五楼上V27.0从六楼下V27.1从一楼上灯V28.0主站的命令。v26.0=1和v26.0=0分别表示从二楼下灯V28.1从站的外一楼上呼叫按钮的灯亮和灭的命令。从二楼上灯V28.2从三楼下灯V28.3从三楼上灯V28.4主站写。从四楼下灯V28.5从四楼上灯V28.6其他信号的作用类推。从五楼下灯V28.7从五楼上灯V29.0从六楼下灯V29.131 烟台大学毕业论文(设计)图3.3PLC的硬件接线图3.3软件设计3.3.1系统的工作原理介绍六层双部并联电梯是建立在单台电梯控制系统基础上的,于是在设计电梯的算法时首先会想到以单台电梯的控制逻辑为根本。在设计程序时要充分地考虑系统的要求,合理地分配请求信号。六层双部并联电梯运行不能和单部电梯运行逻辑相冲突,结合程序编写的逻辑,我们把六层双部并联电梯的算法进行了如下的运行设计:31 烟台大学毕业论文(设计)六层双部并联电梯控制系统的整体工作逻辑框图如图3.5所示。图3.4工作原理图3.3.2系统功能实现分析与介绍3.3.2.1主PLC1.在PLC程序里面需要在主程序里面写入如图3.1所示的程序与从站通讯。通讯前,首先要检查两个PLC之间的RS485通讯线是否连接完好(我开始通讯连接的时候就有一个根线断了),确认连接完好后再设置通讯协议要求的波特率,把拨码器上的开关拨到设定值上,接下来要把两个PLC的运行方式拨到STOP状态下或者调试状态下。一切准备就绪后,就开始程序的编程和状态的设置了。不过,在程序运行前,一定要再次检查硬件运行是否流畅,是否有螺丝松动,限位器或开关的位置是否合适,插销与相应的缝隙是否在一条线上等等。因为程序一旦运行,很容易造成电梯模型的损坏,徒增了一些不必要的损失。想想,如果这部电梯如果载着乘客,发生硬件问题对乘客的生命危险是相当大的。以此,务必要再次检查硬件的连接及完好性。31 烟台大学毕业论文(设计)图3.1与从站通讯2.主站有请求时判断给主站还是从站如图3.2。通过设计的最优运行方式响应乘客的呼叫,其实这也是六层双部并联电梯设计的复杂处,也是其特色所在。不仅使乘客的等待时间大大缩短,也使电梯功耗降低,效率提高,可靠性增强。图3.2判断是主/从站31 烟台大学毕业论文(设计)3.开门关门控制开关门过程置位,则开门。开门后,在自然状态下经过2秒延时后关门。第一次上电时,如果门在开启的状态下则先自动关门。碰到关门限位器后,内存的开关门状态复位,即不允许开关门。在从PLC程序里面也要设置相应的设置,如图3.3。图3.3关门控制4.上下行PWM和开关门PWM,如图3.4图3.4上下行速度控制31 烟台大学毕业论文(设计)5.电梯下行到一层时,下行过程复位,开关门过程置位,一层上行呼叫灯灭,内一层呼叫保持复位,上行允许置位。如果满足上行条件,则上行。如图3.56.电梯下行到二层时,如果二层下行呼叫灯亮或内二层呼叫保持置位,则二层下行呼叫灯灭,内二层呼叫保持复位,并且开关门过程置位。如果满足下行条件,则下行。如果不满足下行条件,且二层上行呼叫灯亮,则二层上行呼叫灯灭,并且开关门过程置位。如果不满足下行条件而且开关门过程复位,则置上行允许置位。如图3.6图3.5判断一层上下行条件图3.6判断二层上下行条件31 烟台大学毕业论文(设计)7.数码管显示轿厢的楼层数。控制轿箱内灯亮灭。如图3.7图3.7数码管显示8.开关门请求。如图3.8所示,当电梯到达目的楼层或乘客请求的平层时,系统会自动打开轿厢门,接触到限位开关时停止,厢体门经过2秒延时进行关门动作;当超重开关处于接通状态下时,电梯不关门;当同层由请求时,电梯重新开门。图3.8电梯开关门判断31 烟台大学毕业论文(设计)9.当电梯没有上下请求信号,在平层位置时遥控开门信号可以使电梯开门。如图3.9图3.9平层时内呼轿厢门开关判断10.有内呼叫信号,该内呼叫保持置位。对于内呼叫请求电梯优先执行。如图3.10图3.10内呼叫保持31 烟台大学毕业论文(设计)11.灯灭且从站无上下任务则从站的灯灭。如图3.11图3.11从站灯控制12.灯灭时(表示主站完成上下电梯任务),请求清零。如图3.12所示。灯的亮灭表示乘客外部呼叫请求,乘客按下按钮时灯亮;同时,也可由MCGS组态的控制画面来操作控制外部请求,也可以控制灯的亮灭。由组态控制内部变量同样经由PLC程序执行。图3.12灯灭请求清零31 烟台大学毕业论文(设计)3.4本章小结本章主要介绍系统中下位机PLC的部分,简单介绍了硬件的选型、I/0的配置、硬件接线图、主电路和硬件安全的保护的措施,详细的分析系统的工作的原理、系统能够实现的各项功能。PLC控制器部分是整个控制系统的核心,与上位机MCGS组态是结合可形成一个实用的一体化嵌入式工业控制系统。31 烟台大学毕业论文(设计)4MCGS组态的设计及概述(上位机部分)4.1MCGS组态概述4.1.1绪言MCGS组态是操作人员和机器设备之间的双向沟通的桥梁,用户可以自由地组合文字、数字、按钮、图形等来处理或者监控管理和应对各种可能发生变化信息的多功能显示屏幕。由于计算机技术的强大功能,把它用于工业控制中将会大大降低成本、具有丰富的可用资源、开发容易等优点。可是如果要把计算机和当代的种种工业控制设备连接起来仍需要编写大量的各种程序、画面显示、处理数据等各种应用程序,对于现场的工程师来说,能完成如此的任务会使效率很低,还有会影响他们对控制任务自身的重视,因此在这个大环境下组态软件应运而生。4.1.2MCGS通用组态的特点MCGS组态软件具有可视化简单而又灵活的操作界面,良好的并行处理性能,丰富、形象的多媒体画面,开放式的结构,能够获取广泛的数据和处理强大的数据性能,完善的安全保护方式,连接网络的功能强劲,报警功能具有多样化,使得复杂的运行流程便于控制等。4.1.3MCGS组态界面介绍运行组态,点击新建工程,就会弹出一个界面,界面的显示的图像如图4.1所示。图4.1软件框架图31 烟台大学毕业论文(设计)4.2组态MCGS与西门子PLC200的通信1.首先是通过点击设备窗口—设备工具箱—设备管理,添加通信串口父设备、设备0(西门子_S7200PPI)、设备1(西门子_S7200PPI),使两个设备都在同一个通信串口父设备之下。2.在通信串口父设备下设置各个参数如图4.2:图4.2通信串口父设备参数设置其中添加进去的两个子设备的各种通讯的参数设置应该和它的父设备的通讯的参数完全一样。3.进入设备0,点击可进入一个设置设备的内部属性,弹出通道增加画面,如图4.3。4.点击通道连接,弹出如图4.4所示话框,进行数据对象连接。5.把两台PLC置于设备运行状态,点击设备调试,会出现一个对话框如图4.5所示;当通讯状态为“0”时表示通讯正常,数据对象显示的值为从PLC采集的数据值,由于串口一次只能读一路数据,所以两台PLC进行交替和电脑进行通信,这就会出现单个PLC通讯状态时断时续的情况,其实这是正常工作状态。31 烟台大学毕业论文(设计)图4.3数据通道图4.4数据对象连接31 烟台大学毕业论文(设计)图4.5电梯之间建立通讯连接4.3系统组态画面设计4.3.1系统工作原理当进入本系统时先展示了一个电梯模型封皮如图4.6,经过两秒后一个密码输入界面如图4.7;正确的密码后经过3S时间跳到六层双部并联电梯主界面如图4.8,可以由此进入监控画面、控制画面和退出系统;当进入监控画面时可以监控电梯运行,主从电梯各个实时状态生动形象的展现出来,出现火灾时画面报警如图4-11,火苗不停的闪烁,双部电梯都驶向一楼,将乘客安全疏散;当进入控制画面时,点击画面按钮可以控制两部电梯运行;点击画面中返回按钮返回主界面。4.3.2主画面31 烟台大学毕业论文(设计)图4.6封皮图4.7密码界面31 烟台大学毕业论文(设计)图4.8监控系统主界面图4.9监控画面31 烟台大学毕业论文(设计)图4.10控制画面图4.11控制画面火灾报警4.4本章小结本章主要对MCGS组态部分进行简单的概述。对组态的发展趋势进行了简单的介绍,并对系统中组态部分的工作原理进行详细的讲解,通过课题的一些设计实例,详细介绍组态使用的方法以及本系统中上位机程序一些重点设计思路。31 烟台大学毕业论文(设计)一个系统的软件设计好比系统的灵魂构思。一个好的程序可使系统更顺畅,效率更高,本系统涉及的程序设计模块有①温湿度采集程序设计。②光照强度采集程序设计。③数码管显示程序设计。④按键扫描程序设计。⑤串口可以接收上位机的数据设计。⑥控制算法设计。软件系统设计的目的在于将各个模块的程序整合在一起,最主要的是能使各个模块有条不紊的顺利执行且能使正个系统达到最高的运行效率。31 烟台大学毕业论文(设计)结束语当我开始着手该课题的时候,感觉没有头绪,花了接近一周的时间才把所有的传感器摸清楚,关于两个PLC之间的通讯以前没有做过,由于电缆断了一根线,导致我半个多月没有进展,还有电梯的声卡坏了,钢丝绳断了…不过,正是在维修的过程中我才对电梯有了更深刻的认识,锻炼和培养了我的独立动手和查阅资料的能力。通过换接钢丝绳,使我有机会目睹电梯电机部分的结构和钢丝绳的连接;通过换接声卡,使我对声卡的内部功能有了全新认识;通过对双部电梯通信错误的排查并最终检查出故障点,我查阅和学习到了很多全新的知识。在进行对MCGS程序组态的过程中,由于开始使用的是USB-PPI总线,与PLC之间的通讯老是不能控制,后来阅读了大量在线帮助文件,了解到只有PC-PPI总线才能支持控制信号。开始显示通信不稳定,后来总结后得出串行总线一次只能读一路数据,而且通信等待时间不能太短,否则传输过来的数据采集不到,还有两个PLC之间交替读数据导致每一个通信时断时续等等,感觉收获颇丰。通过这次毕业设计的历练让我对以后的项目设计顺序及注意事项有了一个很好的架构。31 烟台大学毕业论文(设计)致谢时光荏苒,在过去的两个多月里感觉生活过的很充实,整天在实验室和同学们研究毕业设计,这是我大学最后的作业,我发自内心的想让它拥有一个圆满的结尾。此时此刻,我的学士学位论文即将全部完成,我想向那些曾经给予我帮扶和支持的人传达衷心的感谢!感谢我的烟台大学四年来陪伴我的计算机与控制工程学院,对我们提供了如此好的条件学习和优美的环境生活,在烟台大学的成长和生活的日子将是我一生中一段最深刻的记忆!感谢大学中各位授课老师的悉心教导,是你们的教导,使我有一定的专业知识去完成这项毕业设计。是他们的殷切关怀和耐心指导下我才能够顺利完成的。他们工作作风追求精益求精,以身作则,起到很强的带头作用,让我深受感染和激励。在这里特别感谢我毕业设计的指导老师王飞老师,他的一些想法总是能打开我的思路,扩展我的眼界,使我不再那么局限。大学中参加西门子比赛,单片机比赛,包括完成毕业设计的课题,使我更加自信,让我明白自己也能完成一项相对自己来说比较大的项目,而且确实学到了很多东西,再次感谢王飞老师。感谢实验室参加各种比赛的同学及学弟和学妹们的陪伴,使实验室充满了欢声笑语。伴随着论文行将结束,我的内心久久不能平静,此外我还要感激供养我长大默默付出的父母,感激你们!毕业了,再次说一声那些帮助我的人谢谢你们!31 烟台大学毕业论文(设计)参考文献[1]王阿根.电气电气可编程控制原理与应用.北京.电子工业出版社.2012.[2].孟少凯,尚贵林.张存荣.电梯技术与工程务实[M].北京.宇航出版社,2002.6~7[3]陈建明.电气控制与PLC应用[M].北京.电子工业出版社.2006.79-80.[4]王美胜.称重传感器的选用[J].仪器仪表标准化与计量,2003,(1).16218.[5]EasyBuilder500使用手册[6]程子华,刘小明.PLC原理与编程实例分析[M].北京:国防工业出版社.2010:15-17.[7]田明,薛文轩,张曾科.触摸式可编程终端[M].北京.机械工业出版社.2005.[8]廖常初.FX系列PLC编程及应用[M].北京.机械工业出版社.2005.[9]阮友德.PLC、变频器、触摸屏综合应用实训[M].北京.中国电力出版社.2009.[10]岳庆来.变频器、可编程控制器及触摸屏综合应用技术[M].北京.机械工业出版社.2006.[11]吴启红.胡洪等.变频器、可编程控制器及触摸屏综合应用技术实验指导书[M].北京.机械工业出版社.2005.[12]史国生.电气控制与可编程控制器技术(2版)[M].北京.化学工业出版社.2005.105-109.[13]张进秋.可编程控制器原理及应用实例[M].北京.机械工业出版社.2004.[14]钦和.可编程控制器应用设计与设计实例[M].北京.人民邮电出版社.2004.[15]钟肇新.可编程控制器原理及应用[M].广州.华南理工大学出版社.2003.[16]向晓汉.西门子PLC高级应用实例精解[M].北京.机械工业出版社.2010.[17]朱文杰.S7-200PLC编程设计与案例分析[M].北京.机械工业出版社.2009.[18]洪志育.例说PLC[M].北京.人民邮电出版社.2006.[19]李方园.触摸屏工程应用[M].北京.电子工业出版社.2008.[20]曹辉.组态软件技术及应用(第二版).北京.电子工业出版社.2012.[21]三菱通用变频器使用手册.三菱电机自动化(上海)有限公司.2008.31 烟台大学毕业论文(设计)附录A部分代码70烟台大学毕业论文(设计)主程序:LDSM0.1LPSRM0.2,1RM0.4,70RQ0.7,32RV26.0,32RT37,3RV42.0,64SV40.1,1RV40.0,1SM0.3,1AI3.7RM0.3,1LPPMOVB0,VB50Network2//刚启动没有关门则先关门LDNM8.0LPSRQ0.2,4ANI3.7SM0.3,1SM0.7,1LPPAI3.7RQ0.2,4SM8.0,1Network3//不在楼层上则上行到平层LDM8.0ANM8.1AI3.7LPSANI2.3ANI2.4ANI2.5ANI2.6ANI2.7ANI3.0RQ0.3,3SV40.0,1RV40.1,1RM0.3,1SQ0.2,1LPPLDI2.3OI2.4OI2.5OI2.6OI2.7OI3.0ALDRQ0.2,2SM8.1,1Network4//加减速1LDSM0.1RM3.0,1MOVB0,VB10Network5//加减速2LDSM0.0LDI2.3OI2.4OI2.5OI2.6OI2.7OI3.0ALDEDMOVB0,VB10Network6//加减速3//改变上下行的频率。LDSM0.0LDQ0.2OQ0.3ALDLPSEUCALLSBR6,25MOVB0,VB10SM3.0,170 烟台大学毕业论文(设计)LPPLPSAB=VB10,0AM3.0MOVW200,VW1400LRDAB=VB10,1AM3.0MOVW400,VW1400LRDAB=VB10,2AM3.0MOVW600,VW1400LRDAB=VB10,3AM3.0MOVW800,VW1400LRDAB=VB10,6MOVW600,VW1400LRDAB=VB10,7MOVW400,VW1400LPPAB=VB10,8MOVW200,VW1400Network7//加减速4//VB21;当前楼层。LDB=VB10,5RM3.1,1LDQ0.2LDB=VB41,1LDV44.2OM1.1LDV44.1ANV44.3ANV44.4ANV44.5ANV44.6ANV44.7ANV45.0ANV45.1ANM1.2ANM1.3ANM1.4ANM1.5OLDALDLDB=VB41,2LDV44.4OM1.2LDV44.3ANV44.5ANV44.6ANV44.7ANV45.0ANV45.1ANM1.3ANM1.4ANM1.5OLDALDOLDLDB=VB41,3LDV44.6OM1.3LDV44.5ANV44.7ANV45.0ANV45.1ANM1.4ANM1.5OLDALDOLDLDB=VB41,4LDV45.0OM1.4LDV44.7ANV45.1ANM1.5OLDALDOLDLDB=VB41,5LDV45.1OM1.5ALD70 烟台大学毕业论文(设计)OLDALDLDQ0.3LDB=VB41,6LDV44.7OM1.4LDV45.0ANV44.6ANV44.5ANV44.4ANV44.3ANV44.2ANV44.1ANQ0.7ANM1.3ANM1.2ANM1.1ANM1.0OLDALDLDB=VB41,5LDV44.5OM1.3LDV44.6ANV44.4ANV44.3ANV44.2ANV44.1ANV44.0ANM1.2ANM1.1ANM1.0OLDALDOLDLDB=VB41,4LDV44.3OM1.2LDV44.4ANV44.2ANV44.1ANV44.0ANM1.1ANM1.0OLDALDOLDLDB=VB41,3LDV44.1OM1.1LDV44.2ANV44.0ANM1.0OLDALDOLDLDB=VB41,2LDV44.0OM1.0ALDOLDALDOLDALDSM3.1,1Network8//加减速5LDB=VB10,5ANM3.1MOVB20,VB10RM3.0,1SQ0.4,1Network9//重开门LDM8.1AM0.3LDI2.3AV40.0AI0.0LDI2.4AV40.1AI0.1OLDLDI2.4AV40.0AI0.2OLDLDI2.5AV40.170 烟台大学毕业论文(设计)AI0.3OLDLDI2.5AV40.0AI0.4OLDLDI2.6AV40.1AI0.5OLDLDI2.6AV40.0AI0.7OLDLDI2.7AV40.1AI1.0OLDLDI2.7AV40.0AI1.1OLDLDI3.0AV40.1AI1.2OLDALD=M0.4Network10LDM8.1LPSAI0.0EU=M10.0LRDAI0.1EU=M10.1LRDAI0.2EU=M10.2LRDAI0.3EU=M10.3LRDAI0.4EU=M10.4LRDAI0.5EU=M10.5LRDAI0.7EU=M10.6LRDAI1.0EU=M10.7LRDAI1.1EU=M11.0LPPAI1.2EU=M11.1Network11//接收上下请求(参看网络7)LDM8.1LPSLDNI2.3LDI2.3AV40.1OLDALDAM10.0ANQ0.7SV42.0,1LRDLDNI2.4LDI2.4AV40.0OLDALD70 烟台大学毕业论文(设计)AM10.1ANQ1.0SV42.1,1LRDLDNI2.4LDI2.4AV40.1OLDALDAM10.2ANQ1.1SV42.2,1LRDLDNI2.5LDI2.5AV40.0OLDALDAM10.3ANQ2.0SV42.3,1LRDLDNI2.5LDI2.5AV40.1OLDALDAM10.4ANQ2.1SV42.4,1LRDLDNI2.6LDI2.6AV40.0OLDALDAM10.5ANQ2.2SV42.5,1LRDLDNI2.6LDI2.6AV40.1OLDALDAM10.6ANQ2.3SV42.6,1LRDLDNI2.7LDI2.7AV40.0OLDALDAM10.7ANQ2.4SV42.7,1LRDLDNI2.7LDI2.7AV40.1OLDALDAM11.0ANQ2.5SV43.0,1LPPLDNI3.0LDI3.0AV40.0OLDALDAM11.1ANQ2.6SV43.1,1Network12LDM8.1LPSAQ0.7EDRV42.0,1LRDAQ1.0EDRV42.1,1LRDAQ1.170 烟台大学毕业论文(设计)EDRV42.2,1LRDAQ2.0EDRV42.3,1LRDAQ2.1EDRV42.4,1LRDAQ2.2EDRV42.5,1LRDAQ2.3EDRV42.6,1LRDAQ2.4EDRV42.7,1LRDAQ2.5EDRV43.0,1LPPAQ2.6EDRV43.1,1Network13//灯灭上下任务清零LDM8.1LPSANQ0.7RV44.0,1LRDANQ1.0RV44.1,1LRDANQ1.1RV44.2,1LRDANQ2.0RV44.3,1LRDANQ2.1RV44.4,1LRDANQ2.2RV44.5,1LRDANQ2.3RV44.6,1LRDANQ2.4RV44.7,1LRDANQ2.5RV45.0,1LPPANQ2.6RV45.1,1Network14LDM8.1LPSAQ0.7EDANV24.0RV28.0,1LRDAQ1.0EDANV24.1RV28.1,1LRDAQ1.1EDANV24.2RV28.2,1LRDAQ2.0EDANV24.3RV28.3,1LRD70 烟台大学毕业论文(设计)AQ2.1EDANV24.4RV28.4,1LRDAQ2.2EDANV24.5RV28.5,1LRDAQ2.3EDANV24.6RV28.6,1LRDAQ2.4EDANV24.7RV28.7,1LRDAQ2.5EDANV25.0RV29.0,1LPPAQ2.6EDANV25.1RV29.1,1Network15LDSM0.0LPSAI1.3SM1.0,1LRDAI1.4SM1.1,1LRDAI1.5SM1.2,1LRDAI2.0SM1.3,1LRDAI2.1SM1.4,1LPPAI2.2SM1.5,1Network16LDSM0.0LDI2.3OI2.4OI2.5OI2.6OI2.7OI3.0ALDAI3.3ANQ0.2ANQ0.3AI3.7SM0.3,1SM0.2,1JMP1Network17//开关门请求LDNQ0.2AI3.7AB=VB50,0AV40.0LPSAI2.3LDQ0.7OM1.0ALDMOVB7,VB50SM0.2,2LRDAI2.4LDQ1.1OM1.170 烟台大学毕业论文(设计)ALDMOVB11,VB50SM0.2,2LRDAI2.5LDQ2.1OM1.2ALDMOVB21,VB50SM0.2,2LRDAI2.6LDQ2.3OM1.3ALDMOVB23,VB50SM0.2,2LPPAI2.7LDQ2.5OM1.4ALDMOVB25,VB50SM0.2,2Network18//开关门请求LDNQ0.3AI3.7AB=VB50,0AV40.1LPSAI2.4LDQ1.0OM1.1ALDMOVB10,VB50SM0.2,2LRDAI2.5LDQ2.0OM1.2ALDMOVB20,VB50SM0.2,2LRDAI2.6LDQ2.2OM1.3ALDMOVB22,VB50SM0.2,2LRDAI2.7LDQ2.4OM1.4ALDMOVB24,VB50SM0.2,2LPPAI3.0LDQ2.6OM1.5ALDMOVB26,VB50SM0.2,2Network19LDSM0.0RM12.0,1CALLSBR1AI3.5EULPSANQ0.6SQ0.6,1SM12.0,1LPPAQ0.6ANM12.0RQ0.6,1Network20LDNM0.3AV40.0AI2.4LPSEULDV44.2OM1.1ALD70 烟台大学毕业论文(设计)RQ0.2,4JMP1LRDLDV45.1OV45.0OV44.7OV44.6OV44.5OV44.4OV44.3OM1.5OM1.4OM1.3OM1.2ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT40,1LPPAT40SV40.1,1RV40.0,1RT40,1Network21LDNM0.3AV40.0AI2.5LPSEULDV44.4OM1.2ALDRQ0.2,4JMP1LRDLDV45.1OV45.0OV44.7OV44.6OV44.5OM1.5OM1.4OM1.3ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT41,1LPPAT41SV40.1,1RV40.0,1RT41,1Network22LDNM0.3AV40.0AI2.6LPSEULDV44.6OM1.3ALDRQ0.2,4JMP1LRDLDV45.1OV45.0OV44.7OM1.5OM1.4ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT42,1LPPAT42SV40.1,1RV40.0,1RT42,1Network2370 烟台大学毕业论文(设计)LDNM0.3AV40.0AI2.7LPSEULDV45.0OM1.4ALDRQ0.2,4JMP1LRDLDV45.1OM1.5ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT43,1LPPAT43SV40.1,1RV40.0,1RT43,1Network24LDNM0.3AI3.0LPSEULDV45.1OM1.5ALDRQ0.2,4RV40.0,1SV40.1,1JMP1LRDLDV45.1OV45.0OV44.7OV44.6OV44.5OV44.4OV44.3OV44.2OV44.1OV44.0OM1.5OM1.4OM1.3OM1.2OM1.1OM1.0ALDRV40.0,1SV40.1,1SQ0.3,1RQ0.2,1LPPRV40.0,1SV40.1,1Network25LDNM0.3AV40.1AI2.7LPSEULDV44.7OM1.4ALDRQ0.2,4JMP1LRDLDV44.6OV44.5OV44.4OV44.3OV44.2OV44.1OV44.0OM1.3OM1.2OM1.1OM1.0ALDSQ0.3,1RQ0.2,170 烟台大学毕业论文(设计)JMP1LRDRQ0.2,4TONT48,1LPPAT48SV40.0,1RV40.1,1RT48,1Network26LDNM0.3AV40.1AI2.6LPSEULDV44.5OM1.3ALDRQ0.2,4JMP1LRDLDV44.4OV44.3OV44.2OV44.1OV44.0OM1.2OM1.1OM1.0ALDSQ0.3,1RQ0.2,1JMP1LRDRQ0.2,4TONT49,1LPPAT49SV40.0,1RV40.1,1RT49,1Network27LDNM0.3AV40.1AI2.5LPSEULDV44.3OM1.2ALDRQ0.2,4JMP1LRDLDV44.2OV44.1OV44.0OM1.1OM1.0ALDSQ0.3,1RQ0.2,1JMP1LRDRQ0.2,4TONT50,1LPPAT50SV40.0,1RV40.1,1RT50,1Network28LDNM0.3AV40.1AI2.4LPSEULDV44.1OM1.1ALDRQ0.2,4JMP1LRDLDV44.0OM1.0ALDSQ0.3,1RQ0.2,1JMP170 烟台大学毕业论文(设计)LRDRQ0.2,4TONT51,1LPPAT51SV40.0,1RV40.1,1RT51,1Network29LDNM0.3AI2.3LPSEULDV44.0OM1.0ALDRQ0.2,4RV40.1,1SV40.0,1JMP1LRDLDV45.1OV45.0OV44.7OV44.6OV44.5OV44.4OV44.3OV44.2OV44.1OM1.5OM1.4OM1.3OM1.2OM1.1ALDRV40.1,1SV40.0,1SQ0.2,1RQ0.3,1LPPRV40.1,1SV40.0,1Network30LDI3.7EULPSRM0.3,1LDB=VB50,11AQ1.0ANQ2.0ANQ2.1ANQ2.2ANQ2.3ANQ2.4ANQ2.5ANQ2.6LDB=VB50,21AQ2.0ANQ2.2ANQ2.3ANQ2.4ANQ2.5ANQ2.6OLDLDB=VB50,23AQ2.2ANQ2.4ANQ2.5ANQ2.6OLDLDB=VB50,25AQ2.4ANQ2.6OLDALDRV40.0,1SV40.1,1LRDLDB=VB50,24AQ2.5ANQ2.3ANQ2.2ANQ2.1ANQ2.0ANQ1.1ANQ1.0ANQ0.770 烟台大学毕业论文(设计)LDB=VB50,22AQ2.3ANQ2.1ANQ2.0ANQ1.1ANQ1.0ANQ0.7OLDLDB=VB50,20AQ2.1ANQ1.1ANQ1.0ANQ0.7OLDLDB=VB50,10AQ1.1ANQ0.7OLDALDRV40.1,1SV40.0,1LPPMOVB0,VB50Network31LBL1Network32//上下行、开关门调速LDNM0.3LPSAQ0.2MOVW1000,VW2LPPAQ0.3MOVW1000,VW2Network33LDM0.3LPSAQ0.4MOVW900,VW4LPPAQ0.5MOVW900,VW4Network34//上下行PWMLDNM0.3=L60.0LDQ0.2OQ0.3=L63.7LDL60.0CALLSBR2,L63.7,1000,VW1400,VB0Network35//开关门PWMLDM0.3=L60.0LDQ0.4OQ0.5=L63.7LDL60.0CALLSBR3,L63.7,1000,VW4,VB0Network36//开门响应LDM0.3LPSAM0.2TONT37,10LPPAT37LPSAB=VB50,7RQ0.7,1RM1.0,1RV44.0,1RV28.0,1LRDAB=VB50,10RQ1.0,1RM1.1,1RV44.1,1RV28.1,1LRDAB=VB50,11RQ1.1,1RM1.1,1RV44.2,1RV28.2,1LRDAB=VB50,2070 烟台大学毕业论文(设计)RQ2.0,1RM1.2,1RV44.3,1RV28.3,1LRDAB=VB50,21RQ2.1,1RM1.2,1RV44.4,1RV28.4,1LPPSM0.4,1RM0.2,1Network37//开门延时LD开关门过程:M0.3AT37LPSAB=VB50,22RQ2.2,1RM1.3,1RV44.5,1RV28.5,1LRDAB=VB50,23RQ2.3,1RM1.3,1RV44.6,1RV28.6,1LRDAB=VB50,24RQ2.4,1RM1.4,1RV44.7,1RV28.7,1LPPAB=VB50,25RQ2.5,1RM1.4,1RV45.0,1RV29.0,1Network38//同上LDM0.3AT37AB=VB50,26RQ2.6,1RM1.5,1RV45.1,1RV29.1,1Network39//开门关门控制LDM0.3ANQ0.3ANQ0.2LPSLDM0.4OI3.3OM0.5ANI3.6ANI3.4ALD=Q0.4RT38,1=M0.5ANQ0.5RM0.4,1LRDLDI3.6OM0.6ANI3.3ANI3.4ANM0.4ALDTONT38,20=M0.6LPPLDT38OI3.4OM0.7ANI3.7ANI3.3ANM0.4ALD70 烟台大学毕业论文(设计)=Q0.5=M0.7Network40//以下4个网络不要删除LDNM0.3LPSAQ0.2MOVW900,VW2LPPAQ0.3MOVW700,VW2Network41LDM0.3LPSAQ0.4MOVW900,VW4LPPAQ0.5MOVW900,VW4Network42LDNM0.3=L60.0LDQ0.2OQ0.3=L63.7LDL60.0CALLSBR2,L63.7,1000,VW1400,VB0Network43LDM0.3=L60.0LDQ0.4OQ0.5=L63.7LDL60.0CALLSBR3,L63.7,1000,VW4,VB0Network44//于从站通讯LDSM0.0CALLSBR0,0,M0.0,M0.1Network45LDM8.1LPSLDQ0.2OQ0.3OM0.3ALDRV40.4,1LPPANQ0.2ANQ0.3ANM0.3SV40.4,1Network46Network47//主站有请求时判断给主站还是从站LDM8.1LPSAV22.0EUANV44.0ANV26.0CALLSBR5,1,V44.0,V26.0LRDAV22.1EUANV44.1ANV26.1CALLSBR5,2,V44.1,V26.1LRDAV22.2EUANV44.2ANV26.2CALLSBR4,2,V44.2,V26.2LRDAV22.3EUANV44.3ANV26.3CALLSBR4,3,V44.3,V26.3LRDAV22.4EUANV44.4ANV26.4CALLSBR5,3,V44.4,V26.4LRDAV22.570 烟台大学毕业论文(设计)EUANV44.5ANV26.5CALLSBR5,4,V44.5,V26.5LRDAV22.6EUANV44.6ANV26.6CALLSBR4,4,V44.6,V26.6LRDAV22.7EUANV44.7ANV26.7CALLSBR4,5,V44.7,V26.7LRDAV23.0EUANV45.0ANV27.0CALLSBR5,5,V45.0,V27.0LPPAV23.1EUANV45.1ANV27.1CALLSBR5,6,V45.1,V27.1Network48//主站有请求时,判断主站还是从站LDM8.1LPSAV42.0EUANV26.0ANV44.0CALLSBR5,1,V44.0,V26.0LRDAV42.1EUANV26.1ANV44.1CALLSBR5,2,V44.1,V26.1LRDAV42.2EUANV26.2ANV44.2CALLSBR4,2,V44.2,V26.2LRDAV42.3EUANV26.3ANV44.3CALLSBR4,3,V44.3,V26.3LRDAV42.4EUANV26.4ANV44.4CALLSBR5,3,V44.4,V26.4LRDAV42.5EUANV26.5ANV44.5CALLSBR5,4,V44.5,V26.5LRDAV42.6EUANV26.6ANV44.6CALLSBR4,4,V44.6,V26.6LRDAV42.7EUANV26.7ANV44.770 烟台大学毕业论文(设计)CALLSBR4,5,V44.7,V26.7LRDAV43.0EUANV27.0ANV45.0CALLSBR5,5,V45.0,V27.0LPPAV43.1EUANV27.1ANV45.1CALLSBR5,6,V45.1,V27.1Network49//从站有任务时处理主站灯亮LDSM0.0LPSAV26.0EUSQ0.7,1LRDAV26.1EUSQ1.0,1LRDAV26.2EUSQ1.1,1LRDAV26.3EUSQ2.0,1LRDAV26.4EUSQ2.1,1LRDAV26.5EUSQ2.2,1LRDAV26.6EUSQ2.3,1LRDAV26.7EUSQ2.4,1LRDAV27.0EUSQ2.5,1LPPAV27.1EUSQ2.6,1Network50//从站有任务时,从站灯亮。LDM8.1LPSAV26.0EUSV28.0,1LRDAV26.1EUSV28.1,1LRDAV26.2EUSV28.2,1LRDAV26.3EUSV28.3,1LRDAV26.4EUSV28.4,1LRDAV26.5EUSV28.5,1LRDAV26.6EU70 烟台大学毕业论文(设计)SV28.6,1LRDAV26.7EUSV28.7,1LRDAV27.0EUSV29.0,1LPPAV27.1EUSV29.1,1Network51//从站完成任务且主站无任务时,灭主站的灯LDSM0.0LPSAV24.0EDANV44.0RQ0.7,1LRDAV24.1EDANV44.1RQ1.0,1LRDAV24.2EDANV44.2RQ1.1,1LRDAV24.3EDANV44.3RQ2.0,1LRDAV24.4EDANV44.4RQ2.1,1LRDAV24.5EDANV44.5RQ2.2,1LRDAV24.6EDANV44.6RQ2.3,1LRDAV24.7EDANV44.7RQ2.4,1LRDAV25.0EDANV45.0RQ2.5,1LPPAV25.1EDANV45.1RQ2.6,1Network52LDSM0.0LPSAV24.0EDRV26.0,1RV28.0,1LRDAV24.1EDRV26.1,1RV28.1,1LRDAV24.2EDRV26.2,1RV28.2,1LRD70 烟台大学毕业论文(设计)AV24.3EDRV26.3,1RV28.3,1LRDAV24.4EDRV26.4,1RV28.4,1LRDAV24.5EDRV26.5,1RV28.5,1LRDAV24.6EDRV26.6,1RV28.6,1LRDAV24.7EDRV26.7,1RV28.7,1LRDAV25.0EDRV27.0,1RV29.0,1LPPAV25.1EDRV27.1,1RV29.1,1Network53//从站灯灭且主站无命令时主站灯灭LDSM0.0LPSAV17.0EDANV44.0RQ0.7,1LRDAV17.1EDANV44.1RQ1.0,1LRDAV17.2EDANV44.2RQ1.1,1LRDAV17.3EDANV44.3RQ2.0,1LRDAV17.4EDANV44.4RQ2.1,1LRDAV17.5EDANV44.5RQ2.2,1LRDAV17.6EDANV44.6RQ2.3,1LRDAV17.7EDANV44.7RQ2.4,1LRDAV18.0EDANV45.0RQ2.5,1LPPAV18.1EDANV45.1RQ2.6,170 烟台大学毕业论文(设计)Network54//接任务则灯亮LDSM0.0LPSAV44.0EUSQ0.7,1LRDAV44.1EUSQ1.0,1LRDAV44.2EUSQ1.1,1LRDAV44.3EUSQ2.0,1LRDAV44.4EUSQ2.1,1LRDAV44.5EUSQ2.2,1LRDAV44.6EUSQ2.3,1LRDAV44.7EUSQ2.4,1LRDAV45.0EUSQ2.5,1LPPAV45.1EUSQ2.6,1Network55//主站接任务时,从站的灯亮LDSM0.0LPSAV44.0EUSV28.0,1LRDAV44.1EUSV28.1,1LRDAV44.2EUSV28.2,1LRDAV44.3EUSV28.3,1LRDAV44.4EUSV28.4,1LRDAV44.5EUSV28.5,1LRDAV44.6EUSV28.6,1LRDAV44.7EUSV28.7,1LRDAV45.0EUSV29.0,1LPPAV45.1EUSV29.1,170 烟台大学毕业论文(设计)Network56//主站完成任务且从站无任务时后,发出灭从站的灯的命令LDSM0.0LPSAV44.0EDANV24.0RV28.0,1LRDAV44.1EDANV24.1RV28.1,1LRDAV44.2EDANV24.2RV28.2,1LRDAV44.3EDANV24.3RV28.3,1LRDAV44.4EDANV24.4RV28.4,1LRDAV44.5EDANV24.5RV28.5,1LRDAV44.6EDANV24.6RV28.6,1LRDAV44.7EDANV24.7RV28.7,1LRDAV45.0EDANV25.0RV29.0,1LPPAV45.1EDANV25.1RV29.1,1Network57从程序:LDSM0.0RM5.0,4RM6.0,4RM7.0,4Network2//初始化程序,下行允许,开关门过程置一。LDSM0.1LPSRM0.2,1RM0.4,70RQ0.7,32RT37,3RV22.0,64SV20.0,1RV20.1,1SM0.3,1AI3.7RM0.3,1LRDMOVB0,VB50LPPSM8.3,1Network3//刚启动没有关门则先关门LDM8.3ANM8.0LPSRQ0.2,4ANI3.770 烟台大学毕业论文(设计)SM0.3,1SM4.3,1LPPAI3.7RQ0.2,4SM8.0,1Network4//启动时如果关门了,但不在平层上时先上行到平层上LDM7.0ANM8.1AI3.7LPSANI2.3ANI2.4ANI2.5ANI2.6ANI2.7ANI3.0RQ0.3,3SV20.0,1RV20.1,1RM0.3,1SQ0.2,1LPPLDI2.3OI2.4OI2.5OI2.6OI2.7OI3.0ALDRQ0.2,2SM8.1,1Network5//加减速1LDSM0.1RM3.0,1MOVB0,VB10Network6//加减速2LDSM0.0LDI2.3OI2.4OI2.5OI2.6OI2.7OI3.0ALDEDMOVB0,VB10Network7//加减速3//改变上下行的频率。LDSM0.0LDQ0.2OQ0.3ALDLPSEUCALLSBR6,25MOVB0,VB10SM3.0,1LPPLPSAB=VB10,0AM3.0MOVW200,VW1400LRDAB=VB10,1AM3.0MOVW400,VW1400LRDAB=VB10,2AM3.0MOVW600,VW1400LRDAB=VB10,3AM3.0MOVW800,VW1400LRDAB=VB10,6MOVW600,VW1400LRDAB=VB10,7MOVW400,VW1400LPPAB=VB10,8MOVW200,VW1400Network8//加减速470 烟台大学毕业论文(设计)//VB21;当前楼层。LDB=VB10,5RM3.1,1LDQ0.2LDB=VB21,1LDV24.2OM1.1LDV24.1ANV24.3ANV24.4ANV24.5ANV24.6ANV24.7ANV25.0ANV25.1ANM1.2ANM1.3ANM1.4ANM1.5OLDALDLDB=VB21,2LDV24.4OM1.2LDV24.3ANV24.5ANV24.6ANV24.7ANV25.0ANV25.1ANM1.3ANM1.4ANM1.5OLDALDOLDLDB=VB21,3LDV24.6OM1.3LDV24.5ANV24.7ANV25.0ANV25.1ANM1.4ANM1.5OLDALDOLDLDB=VB21,4LDV25.0OM1.4LDV24.7ANV25.1ANM1.5OLDALDOLDLDB=VB21,5LDV25.1OM1.5ALDOLDALDLDQ0.3LDB=VB21,6LDV24.7OM1.4LDV25.0ANV24.6ANV24.5ANV24.4ANV24.3ANV24.2ANV24.1ANQ0.7ANM1.3ANM1.2ANM1.1ANM1.0OLDALDLDB=VB21,5LDV24.5OM1.3LDV24.6ANV24.4ANV24.3ANV24.270 烟台大学毕业论文(设计)ANV24.1ANV24.0ANM1.2ANM1.1ANM1.0OLDALDOLDLDB=VB21,4LDV24.3OM1.2LDV24.4ANV24.2ANV24.1ANV24.0ANM1.1ANM1.0OLDALDOLDLDB=VB21,3LDV24.1OM1.1LDV24.2ANV24.0ANM1.0OLDALDOLDLDB=VB21,2LDV24.0OM1.0ALDOLDALDOLDALDSM3.1,1Network9//加减速5LDB=VB10,5ANM3.1MOVB20,VB10RM3.0,1SQ0.4,1Network10Network11//为通讯做准备保限位开关信息.V20.4=1表示静态LDM8.1LPSAI2.3=V19.0LRDAI2.4=V19.1LRDAI2.5=V19.2LRDAI2.6=V19.3LRDAI2.7=V19.4LRDAI3.0=V19.5LRDLDQ0.2OQ0.3OM0.3ALDRV20.4,1LPPLPSANQ0.2ANQ0.3ANM0.3SV20.4,1LRDAM0.3SV20.3,1LPPANM0.3RV20.3,1Network12//为通讯做准备//70 烟台大学毕业论文(设计)LDM8.1LPSAQ0.7SV17.0,1LRDANQ0.7RV17.0,1LRDAQ1.0SV17.1,1LRDANQ1.0RV17.1,1LRDAQ1.1SV17.2,1LRDANQ1.1RV17.2,1LRDAQ2.0SV17.3,1LRDANQ2.0RV17.3,1LRDAQ2.1SV17.4,1LRDANQ2.1RV17.4,1LRDAQ2.2SV17.5,1LRDANQ2.2RV17.5,1LRDAQ2.3SV17.6,1LRDANQ2.3RV17.6,1LRDAQ2.4SV17.7,1LRDANQ2.4RV17.7,1LRDAQ2.5SV18.0,1LRDANQ2.5RV18.0,1LRDAQ2.6SV18.1,1LPPANQ2.6RV18.1,1Network13//在该层开关门过程中,按该层的呼叫按钮则重开门。LDM8.1AM0.3LDI2.3AV20.0AI0.0LDI2.4AV20.1AI0.1OLDLDI2.4AV20.0AI0.2OLDLDI2.5AV20.1AI0.3OLDLDI2.5AV20.0AI0.4OLDLDI2.6AV20.1AI0.570 烟台大学毕业论文(设计)OLDLDI2.6AV20.0AI0.7OLDLDI2.7AV20.1AI1.0OLDLDI2.7AV20.0AI1.1OLDLDI3.0AV20.1AI1.2OLDALD=M0.4Network14LDM8.1LPSAI0.0EU=M10.0LRDAI0.1EU=M10.1LRDAI0.2EU=M10.2LRDAI0.3EU=M10.3LRDAI0.4EU=M10.4LRDAI0.5EU=M10.5LRDAI0.7EU=M10.6LRDAI1.0EU=M10.7LRDAI1.1EU=M11.0LPPAI1.2EU=M11.1Network15//接收上下请求(参看网络8)LDM8.1LPSLDNI2.3LDI2.3AV20.1OLDALDAM10.0ANQ0.7SV22.0,1LRDLDNI2.4LDI2.4AV20.0OLDALDAM10.1ANQ1.0SV22.1,1LRDLDNI2.4LDI2.470 烟台大学毕业论文(设计)AV20.1OLDALDAM10.2ANQ1.1SV22.2,1LRDLDNI2.5LDI2.5AV20.0OLDALDAM10.3ANQ2.0SV22.3,1LRDLDNI2.5LDI2.5AV20.1OLDALDAM10.4ANQ2.1SV22.4,1LRDLDNI2.6LDI2.6AV20.0OLDALDAM10.5ANQ2.2SV22.5,1LPPLDNI2.6LDI2.6AV20.1OLDALDAM10.6ANQ2.3SV22.6,1Network16//同上LDM8.1LPSLDNI2.7LDI2.7AV20.0OLDALDAM10.7ANQ2.4SV22.7,1LRDLDNI2.7LDI2.7AV20.1OLDALDAM11.0ANQ2.5SV23.0,1LPPLDNI3.0LDI3.0AV20.0OLDALDAM11.1ANQ2.6SV23.1,1Network17//接受主站的上下命令,灯亮LDM8.1LPSAV26.0EUSQ0.7,1SV24.0,1LRDAV26.1EUSQ1.0,1SV24.1,1LRDAV26.270 烟台大学毕业论文(设计)EUSQ1.1,1SV24.2,1LRDAV26.3EUSQ2.0,1SV24.3,1LRDAV26.4EUSQ2.1,1SV24.4,1LRDAV26.5EUSQ2.2,1SV24.5,1LRDAV26.6EUSQ2.3,1SV24.6,1LRDAV26.7EUSQ2.4,1SV24.7,1LRDAV27.0EUSQ2.5,1SV25.0,1LPPAV27.1EUSQ2.6,1SV25.1,1Network18//接收主站的亮灯命令LDSM0.0LPSAV28.0EUSQ0.7,1LRDAV28.1EUSQ1.0,1LRDAV28.2EUSQ1.1,1LRDAV28.3EUSQ2.0,1LRDAV28.4EUSQ2.1,1LRDAV28.5EUSQ2.2,1LRDAV28.6EUSQ2.3,1LRDAV28.7EUSQ2.4,1LRDAV29.0EUSQ2.5,1LPPAV29.1EUSQ2.6,1Network19//接受主站的灭灯命令后,请求清零LDM8.1LPSAV28.070 烟台大学毕业论文(设计)EDRV22.0,1LRDAV28.1EDRV22.1,1LRDAV28.2EDRV22.2,1LRDAV28.3EDRV22.3,1LRDAV28.4EDRV22.4,1LRDAV28.5EDRV22.5,1LRDAV28.6EDRV22.6,1LRDAV28.7EDRV22.7,1LRDAV29.0EDRV23.0,1LPPAV29.1EDRV23.1,1Network20//主站消除上下命令,表示从站完成电梯上下请求,此时请求复位LDM8.1LPSAV26.0EDRV22.0,1LRDAV26.1EDRV22.1,1LRDAV26.2EDRV22.2,1LRDAV26.3EDRV22.3,1LRDAV26.4EDRV22.4,1LRDAV26.5EDRV22.5,1LRDAV26.6EDRV22.6,1LRDAV26.7EDRV22.7,1LRDAV27.0EDRV23.0,1LPPAV27.1EDRV23.1,1Network21//有主站灭灯命令且从站无上下命令时灯灭LDM8.1LPSAV28.070 烟台大学毕业论文(设计)EDANV24.0RQ0.7,1LRDAV28.1EDANV24.1RQ1.0,1LRDAV28.2EDANV24.2RQ1.1,1LRDAV28.3EDANV24.3RQ2.0,1LRDAV28.4EDANV24.4RQ2.1,1LRDAV28.5EDANV24.5RQ2.2,1LRDAV28.6EDANV24.6RQ2.3,1LRDAV28.7EDANV24.7RQ2.4,1LRDAV29.0EDANV25.0RQ2.5,1LPPAV29.1EDANV25.1RQ2.6,1Network22//灯灭则任务清零。LDM8.1LPSANQ0.7RV24.0,1LRDANQ1.0RV24.1,1LRDANQ1.1RV24.2,1LRDANQ2.0RV24.3,1LRDANQ2.1RV24.4,1LRDANQ2.2RV24.5,1LRDANQ2.3RV24.6,1LRDANQ2.4RV24.7,1LRDANQ2.5RV25.0,1LPPANQ2.6RV25.1,1Network23//有内呼叫信号,该内呼叫保持置位。LDM8.170 烟台大学毕业论文(设计)LPSAI1.3SM1.0,1LRDAI1.4SM1.1,1LRDAI1.5SM1.2,1LRDAI2.0SM1.3,1LRDAI2.1SM1.4,1LPPAI2.2SM1.5,1Network24LDM8.1LDI2.3OI2.4OI2.5OI2.6OI2.7OI3.0ALDAI3.3ANQ0.2ANQ0.3AI3.7SM0.3,1SM0.2,1JMP1Network25//满足开门条件时请求开门。LDM8.1ANQ0.2AI3.7AB=VB50,0AV20.0LPSAI2.3LDQ0.7OM1.0ALDMOVB7,VB50SM0.2,2LRDAI2.4LDQ1.1OM1.1ALDMOVB11,VB50SM0.2,2LRDAI2.5LDQ2.1OM1.2ALDMOVB21,VB50SM0.2,2LRDAI2.6LDQ2.3OM1.3ALDMOVB23,VB50SM0.2,2LPPAI2.7LDQ2.5OM1.4ALDMOVB25,VB50SM0.2,2Network26//开关门判断LDM8.1ANQ0.3AI3.7AB=VB50,0AV20.1LPSAI2.4LDQ1.0OM1.1ALD70 烟台大学毕业论文(设计)MOVB10,VB50SM0.2,2LRDAI2.5LDQ2.0OM1.2ALDMOVB20,VB50SM0.2,2LRDAI2.6LDQ2.2OM1.3ALDMOVB22,VB50SM0.2,2LRDAI2.7LDQ2.4OM1.4ALDMOVB24,VB50SM0.2,2LPPAI3.0LDQ2.6OM1.5ALDMOVB26,VB50SM0.2,2Network27//数码管显示轿厢的楼层数。控制轿箱内灯亮灭LDSM0.0RM12.0,1CALLSBR1AI3.5EULPSANQ0.6SQ0.6,1SM12.0,1LPPAQ0.6ANM12.0RQ0.6,1Network28//网络标题LDM8.1ANM0.3AV20.0AI2.4LPSEULDV24.2OM1.1ALDRQ0.2,4JMP1LRDLDV25.1OV25.0OV24.7OV24.6OV24.5OV24.4OV24.3OM1.5OM1.4OM1.3OM1.2ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT40,1LPPAT40SV20.1,1RV20.0,1RT40,1Network29//网络标题LDM8.1ANM0.3AV20.0AI2.5LPS70 烟台大学毕业论文(设计)EULDV24.4OM1.2ALDRQ0.2,4JMP1LRDLDV25.1OV25.0OV24.7OV24.6OV24.5OM1.5OM1.4OM1.3ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT41,1LPPAT41SV20.1,1RV20.0,1RT41,1Network30//网络标题LDM8.1ANM0.3AV20.0AI2.6LPSEULDV24.6OM1.3ALDRQ0.2,4JMP1LRDLDV25.1OV25.0OV24.7OM1.5OM1.4ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT42,1LPPAT42SV20.1,1RV20.0,1RT42,1Network31//网络标题LDM8.1ANM0.3AV20.0AI2.7LPSEULDV25.0OM1.4ALDRQ0.2,4JMP1LRDLDV25.1OM1.5ALDSQ0.2,1RQ0.3,1JMP1LRDRQ0.2,4TONT43,1LPPAT43SV20.1,1RV20.0,1RT43,1Network32LDM8.1ANM0.3AI3.070 烟台大学毕业论文(设计)LPSEULDV25.1OM1.5ALDRQ0.2,4RV20.0,1SV20.1,1JMP1LRDLDV25.1OV25.0OV24.7OV24.6OV24.5OV24.4OV24.3OV24.2OV24.1OV24.0OM1.6OM1.5OM1.4OM1.3OM1.2OM1.1OM1.0ALDRV20.0,1SV20.1,1SQ0.3,1RQ0.2,1LPPRV20.0,1SV20.1,1Network33LDM8.1ANM0.3AV20.1AI2.7LPSEULDV24.7OM1.4ALDRQ0.2,4JMP1LRDLDV24.6OV24.5OV24.4OV24.3OV24.2OV24.1OV24.0OM1.3OM1.2OM1.1OM1.0ALDSQ0.3,1RQ0.2,1JMP1LRDRQ0.2,4TONT48,1LPPAT48SV20.0,1RV20.1,1RT48,1Network34LDM8.1ANM0.3AV20.1AI2.6LPSEULDV24.5OM1.3ALDRQ0.2,4JMP1LRDLDV24.4OV24.3OV24.2OV24.170 烟台大学毕业论文(设计)OV24.0OM1.2OM1.1OM1.0ALDSQ0.3,1RQ0.2,1JMP1LRDRQ0.2,4TONT49,1LPPAT49SV20.0,1RV20.1,1RT49,1Network35LDM8.1ANM0.3AV20.1AI2.5LPSEULDV24.3OM1.2ALDRQ0.2,4JMP1LRDLDV24.2OV24.1OV24.0OM1.1OM1.0ALDSQ0.3,1RQ0.2,1JMP1LRDRQ0.2,4TONT50,1LPPAT50SV20.0,1RV20.1,1RT50,1Network36LDM8.1ANM0.3AV20.1AI2.4LPSEULDV24.1OM1.1ALDRQ0.2,4JMP1LRDLDV24.0OM1.0ALDSQ0.3,1RQ0.2,1JMP1LRDRQ0.2,4TONT51,1LPPAT51SV20.0,1RV20.1,1RT51,1Network37LDM8.1ANM0.3AI2.3LPSEULDV24.0OM1.0ALDRQ0.2,4RV20.1,1SV20.0,1JMP1LRDLDV25.170 烟台大学毕业论文(设计)OV25.0OV24.7OV24.6OV24.5OV24.4OV24.3OV24.2OV24.1OM1.5OM1.4OM1.3OM1.2OM1.1ALDRV20.1,1SV20.0,1SQ0.2,1RQ0.3,1LPPRV20.1,1SV20.0,1Network38//关门判断:满足上行允许和下行允许的改换条件,则改换,保证灭另一个灯。//LDI3.7EULPSRM0.3,1LDB=VB50,11AQ1.0ANQ2.0ANQ2.1ANQ2.2ANQ2.3ANQ2.4ANQ2.5ANQ2.6LDB=VB50,21AQ2.0ANQ2.2ANQ2.3ANQ2.4ANQ2.5ANQ2.6OLDLDB=VB50,23AQ2.2ANQ2.4ANQ2.5ANQ2.6OLDLDB=VB50,25AQ2.4ANQ2.6OLDALDRV20.0,1SV20.1,1LRDLDB=VB50,24AQ2.5ANQ2.3ANQ2.2ANQ2.1ANQ2.0ANQ1.1ANQ1.0ANQ0.7LDB=VB50,22AQ2.3ANQ2.1ANQ2.0ANQ1.1ANQ1.0ANQ0.7OLDLDB=VB50,20AQ2.1ANQ1.1ANQ1.0ANQ0.7OLDLDB=VB50,10AQ1.1ANQ0.7OLDALD70 烟台大学毕业论文(设计)RV20.1,1SV20.0,1LPPMOVB0,VB50Network39LBL1Network40//上下行、开关门调速LDNM0.3LPSAQ0.2MOVW1000,VW2LPPAQ0.3MOVW1000,VW2Network41LDM0.3LPSAQ0.4MOVW900,VW4LPPAQ0.5MOVW900,VW4Network42//上下行PWMLDNM0.3=L60.0LDQ0.2OQ0.3=L63.7LDL60.0CALLSBR2,L63.7,1000,VW1400,VB0Network43//开关门PWMLDM0.3=L60.0LDQ0.4OQ0.5=L63.7LDL60.0CALLSBR3,L63.7,1000,VW4,VB0Network44//开门延时LD开关门过程:M0.3LPSAM0.2TONT37,10LPPAT37LPSAB=VB50,7RQ0.7,1RM1.0,1RV24.0,1RV22.0,1LRDAB=VB50,10RQ1.0,1RM1.1,1RV24.1,1RV22.1,1LRDAB=VB50,11RQ1.1,1RM1.1,1RV24.2,1RV22.2,1LRDAB=VB50,20RQ2.0,1RM1.2,1RV24.3,1RV22.3,1LRDAB=VB50,21RQ2.1,1RM1.2,1RV24.4,1RV22.4,1LRDAB=VB50,22RQ2.2,1RM1.3,1RV24.5,1RV22.5,1LRDAB=VB50,2370 烟台大学毕业论文(设计)RQ2.3,1RM1.3,1RV24.6,1RV22.6,1LPPSM0.4,1RM0.2,1Network45LDM0.3AT37LPSAB=VB50,24RQ2.4,1RM1.4,1RV24.7,1RV22.7,1LRDAB=VB50,25RQ2.5,1RM1.4,1RV25.0,1RV23.0,1LPPAB=VB50,26RQ2.6,1RM1.5,1RV25.1,1RV23.1,1Network46//开门关门控制LDM0.3ANQ0.3ANQ0.2LPSLDM0.4OI3.3OM0.5ANI3.6ANM4.3ANI3.4ALD=Q0.4RT38,1=M0.5ANQ0.5RM0.4,1LRDLDI3.6OM0.6ANI3.3ANM0.4ANM4.3ANI3.4ALDTONT38,20=M0.6LPPLDT38OM4.3OI3.4OM0.7ANI3.7ANI3.3ANM0.4ALD=Q0.5=M0.7Network47//以下不要删除LDNM0.3LPSAQ0.2MOVW900,VW2LPPAQ0.3MOVW700,VW2Network48LDM0.3LPSAQ0.4MOVW900,VW4LPPAQ0.5MOVW900,VW4Network4970 烟台大学毕业论文(设计)LDNM0.3=L60.0LDQ0.2OQ0.3=L63.7LDL60.0CALLSBR2,L63.7,1000,VW1400,VB0Network50LDM0.3=L60.0LDQ0.4OQ0.5=L63.7LDL60.0CALLSBR3,L63.7,1000,VW4,VB070'