• 8.53 MB
  • 2022-04-22 11:31:06 发布

《数字电子技术基础》习题答案(王毓银)版的.doc

  • 48页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'第1章习题及答案1.1一数字信号的波形如图题1.1所示,试写出该波形所表示的二进制数。图题1.1解:该波形表示的二进制数为:0111010。1.2将下列十进制数转换为二进制数、十六进制数、8421BCD码来表示。(1)26(2)87(3)255(4)11.375解:(1)(26)D=(11010)B=(1A)H=(00100110)8421BCD(2)(87)D=(1010111)B=(57)H=(10000111)8421BCD(3)(255)D=(11111111)B=(FF)H=(001001010101)8421BCD(4)(11.375)D=(1011.011)B=(B.6)H=(00010001.001101110101)8421BCD1.3将下列二进制数转换为十进制数、十六进制数。(1)1011(2)1111111111(3)11000101(4)1010101.101解:(1)(1011)B=(11)D=(B)H(2)(1111111111)B=(1023)D=(3FF)H(3)(11000101)B=(197)D=(C5)H(4)(1010101.101)B==(85.625)D=(55.A)H1.4将下列十六进制数转换为十进制数、二进制数。(1)3E(2)7D8(3)3AF.E解:(1)(3E)H=(62)D=(111110)B(2)(7D8)H=(2008)D=(11111011000)B(3)(3AF.E)H=(943.875)D=(1110101111.111)B1.5已知A、B的波形如图题1.5所示。设,试画出F对应A、B的波形。图题1.5解:,得对应波形如图所示。48 1.6用真值表证明下列逻辑等式:(1)证明:真值表如图解1.6(a)所示,得证。图解1.6(a)(2)证明:真值表如图解1.6(b)所示,得证。图解1.6(b)48 1.7用公式证明下列各等式。(1)(2)证明:(1)左边==右边(2)右边=====左边1.8写出下列函数的对偶式。(1)(2)(3)(4)解:(1)(2)(3)(4)1.9写出题1.8中函数的反函数。解:(1)(2)(3)(4)48 1.10列出下列问题的真值表,并写出逻辑表达式。(1)设三变量A、B、C当变量组合值中出现奇数个1时,输出(F1)为1,否则为0。(2)设三变量A、B、C当输入端信号不一致时,输出(F2)为1,否则为0。(3)列出三变量多数表决器的真值表(输出用F3表示)。解真值表如图解1.10所示。将F=1的与项相或即得F的逻辑表达式。图解1.101.11用代数法化简下列各式。(1)(2)(3)(4)(5)(6)(7)(8)解(1)48 (2)(3)(4)(5)(6)(7)===(8)1.12逻辑函数项的逻辑相邻项有哪些?解:、、。48 1.13画出下列各逻辑函数的卡诺图。(1)(2)解:F1和F2的卡诺图分别如图解1.13(a)、(b)所示。图解1.131.14写出图题1.14中各卡诺图的逻辑函数式。图题1.14解:图(a),图(b),1.15用卡诺图化简下列逻辑函数。(1)。(2)48 (3)(4)解(1)卡诺图如图解1.15(a)所示,得图解1.15(a)(2)卡诺图如图解1.15(b)所示,得图解1.15(b)(3)卡诺图如图解1.15(c)所示,得图解1.15(c)(4)卡诺图如图解1.15(d)和(e)所示。按图(d)写出的化简结果为按图(e)写出的化简结果为任一解都为最简与或式。48 图解1.15(d)和(e)1.17化简逻辑函数。(1)(2)解(1)卡诺图如图解1.17(a)所示,图解1.17(a)(2)卡诺图如图解1.17(b)所示,图解1.17(b)48 第2章习题及答案2.1什么是逻辑门电路?基本门电路是指哪几种逻辑门?解:能够实现基本和常用逻辑关系具体器件构成的电子线路,称为逻辑门电路。基本门电路是指与门﹑或门﹑非门等电路。2.2分立元件门电路、TTL门电路和CMOS门电路的主要区别是什么?解:分立元件门电路是由晶体管及电阻等构成。集成逻辑门电路是把构成门电路的元器件和连接线集成在一片半导体芯片上制成的电路和系统。TTL门电路是由三极管-三极管构成的集成逻辑门电路。COMS门电路是由互补MOS管组成的单极型集成电路。2.3电路如图题2.3所示,写出输出表达式。图题2.3解:(a)电路由两级逻辑门构成,第一级是与门,第二级是或门,输出逻辑关系为F=AB+C;(b)电路是只有—个输入端的逻辑电路。当输入端A为低电平时,Tl发射结导通,D、T2截止,F2输出高电平;当输入端A为高电平时,Tl发射结不通,D、T2导通,F2输出低电平。由以上分析可得:2.4试分析为什么TTL与非门的输入端在以下4种接法时都属于逻辑1输入:(1)输入端直接悬空;(2)输入端接高于2V的电源;(3)输入端接同类与非门的输出高电压3.6V;(4)输入端通过10KΩ的电阻接地。而在以下4种接法时都属于逻辑0输入:(5)输入端接地;(6)输入端接低于0.8V的电源;(7)输入端接同类与非门的输出低电平0.3V;(8)输入端通过200Ω的电阻接地。解:TTL与非门的输入端悬空、接高于2V的电源、接同类与非门的输出高电压3.6V时,输入电压均高于其开门电平UON(UON约为1.8V),因此门的输出电压均低于其输出低电平的最大值UOL(max),所以以上三种输入的接法都属于高电平,均属于逻辑1输入。当输入端通过10KΩ的电阻接地时,由于三极管导通时产生基极电流,由于外接电阻远大于其内阻,会在外接电阻上产生较大压降,导致输入电压高于其开门电平UON48 ,所以此种输入的接法也属于逻辑1输入。TTL与非门的输入端接地、接低于0.8V的电源、接同类与非门的输出低电压0.3V时,输入电压均低于其关门电平UOFF(UOFF约为0.8~1V),因此门的输出电压均高于其输出高电平的最小值UOH(min),都属于高电平,所以以上三种输入的接法均属于逻辑0输入。当输入端通过200Ω的电阻接地时,虽然也会在外接电阻上产生压降,但由于外接电阻较小,产生的电压仍低于其关门电平UOFF,所以此种输入的接法也属于逻辑0输入。2.5MOS管电路如图题2.5所示。已知UDD=10V,|UTP|=UTN=4V。求当输入分别为0V和10V时,MOS管的工作状态,对应输出电压的值,说明电路功能。图题2.5解:当=0V时,NMOS管截止、PMOS管导通,设PMOS管导通内阻很小,输出»UDD=10V;当=10V时,NMOS管导通、PMOS管截止,设NMOS管导通内阻很小,输出»0V。该电路实现反相器功能。2.6已知MOS管的|UT|=2V,忽略电阻上的压降,试确定如图题2.6所示的MOS管的工作状态(导通或截止)。图题2.6解:(a)截止状态。(b)导通状态。(c)截止状态。(d)导通状态。2.7试分析为什么CMOS与非门的输入端在以下4种接法下都属于逻辑0输入:(1)输入端接地;(2)输入端接低于1.5V的电源;(3)输入端接同类与非门的输出低电平0.1V;(4)输入端通过10KΩ的电阻接地。解:CMOS与非门的输入端接地、接低于1.5V的电源、接同类与非门的输出低电压0.1V时,输入电压均低于其关门电平UOFF,因此门的输出电压均高于其输出高电平的最小值UOH48 (min),都属于高电平,所以以上三种输入的接法均属于逻辑0输入。当输入端通过10KΩ的电阻接地时,由于MOS管导通时没有栅源电流,仅管外接电阻远大于其内阻,也会在外接电阻上产生压降,所以此种输入的接法也属于逻辑0输入。2.8TTL电路如图题2.8所示,已知集成电路参数UIH(min)=2V,IIL=-1.4mA,IIH=20mA,UT=1.1V,ROFF=2kW,RON=40kW,UOH=3.6V,UOL=0.3V。写出输出F的表达式,确定电路输出F1~F3的状态。图题2.8解:已知门电路RON=40kW,当输入端通过阻值³40kW电阻接地时为逻辑高电平,因此有:2.9CMOS电路如图题2.9所示,确定电路输出F1~F4的状态。图题2.9解:(a),输出为高电平。(b),输出为低电平。(c),输出为低电平。(d)两个漏极开路与非门,分别输出1和0,由于其中一个门输出为0,即输出与地短接,两个漏极开路与非门并联,所以F4输出为低电平。2.10试分析图题2.10所示电路的逻辑功能,写出逻辑函数表达式。48 图题2.10解:当A、B输入均为0时,三极管T2A、T2B均将截止,T4也将截止,同时使T3和D饱和导通,输出为高电平。若A、B输入有一个为1时,则三极管T2A、T2B将有一个会达到饱和,同时使T3和D截止,T4将饱和导通,输出为低电平。实现了或非的关系即。2.11试分析如图题2.11所示CMOS门电路的逻辑功能。图题2.11解:(a),=A⊙B(b)EN=1,F为高阻态;,2.12如图题2.12所示是一个表示三态门作为总线传输的示意图,图中n个三态门的输出接到数据传输总线D上,A0、A1、…、An分别为各三态门的数据输入端,EN0、EN1、…、ENn为片选端。(1)为让数据A0、A1、…、An通过该总线可以正常传输,EN信号应如何进行控制?(2)EN信号如果出现两个或两个以上同时有效,会出现什么问题?(3)如果所有EN信号均无效,总线状态如何?图题2.12解:(1)EN0有效则数据A048 送到数据总线上,其余相同。(2)EN信号在同一时刻只能有一个为有效信号,不能同时有两个或两个以上有效,如果出现两个或两个以上有效,可能会造成数据传输错误。(3)如果所有EN信号均无效,总线处在高阻态。第3章习题及答案3.1分析图题3.1中电路的逻辑功能。图题3.1解:(1)从输入依次写入得(2)列出逻辑函数真值表。ABCF00000010010001111000101111011111(3)由逻辑函数真值表可以看出,该电路具有多数表决的功能。3.2电路如图题3.2所示,试分析该电路图。(1)写出该电路的逻辑函数表达式。(2)列出该电路的真值表。图题3.2解:(1);实际上这是个逻辑或。(2)真值表。ABF48 0011010101113.3电路如图题3.3所示,试分析该电路的逻辑功能。图题3.3解:由电路直接写出方程:由方程得真值表WXPF000000100100011010001011110111113.4已知电路如图题3.4所示,试分析其逻辑功能。图题3.4解:写出逻辑表达式:48 得真值表:当相等时,;>时,;<时,,此电路实现了一位数据的比较。3.5试设计一种房间消防报警电路,当温度和烟雾过高时,就会发出报警信号,要求使用与非门实现。解:设温度为A,烟雾为B,报警输出为F,得真值表ABF001101010001写出逻辑表达式并转换成与非式:画出逻辑图如图解3.5所示。图解3.53.6已知某组合逻辑电路的输入A,B和输出F的波形如图题1.6所示。写出F对A,B的逻辑表达式,用与非门实现该逻辑电路。图题3.6解:由波形可得其逻辑图如图解3.6所示。48 图解3.63.7某组合逻辑电路的输入A,B,C和输出F的波形如图题1.7所示。试列出该电路的真值表,写出逻辑函数表达式,并用最少的与非门实现。图题3.7解:由波形图直接写出逻辑函数表达式:化简并变换成最简与非式。画出逻辑图如图解3.7所示。图解3.73.8设计一个三变量的判奇电路,当有奇数个变量为1时,输出为1,否则输出为0,用最少的门电路实现此逻辑电路。解:三变量的判奇电路真值表如表所示,ABCF000000110101011010011010110048 1111用异或门实现电路最简单,逻辑图如图解3.8所示。图解3.83.9设计三变量A、B、C表决电路,其中A具有否决权。解:设A、B、C分别代表参加表决的逻辑变量,F为表决结果。A、B、C为1表示赞成,为0表示反对。F=1表示通过,F=0表示被否决。(1)列出真值表。ABCF00000010010001101000101111011111(2)写出函数并化简成与非式。(3)画出逻辑图。图解3.93.10某工厂有设备开关A、B、C。按照操作规程,开关B只有在开关A接通时才允许接通;开关C只有在开关B接通时才允许接通。违反这一操作规程,则报警电路48 发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。解:(1)设开关A、B、C的状态接通为1,断开为0;F为输出,发报警信号为1,不发报警信号为0。(2)列真值表ABCF00000011010101111000101111001110(3)写出逻辑表达式并化简(4)画出逻辑图。图解3.103.11用与非门实现四变量的多数表决器,当四个变量中有多数变量为1时,输出为1,否则为0。解:四变量的多数表决器真值表如表所示:48 直接用卡诺图化简,得图解3.11(a)逻辑图如图解3.11(b)所示。图解3.11(b)3.12试用74LS138和适当的逻辑门电路实现下列两输出逻辑函数。解:将表达式变换:画出逻辑图如图解3.12所示。图解3.1248 3.13译码器的功能如表题3.13所示,用74LS138设计该译码器。表题3.13输入输出DCBA012345678900000111111111000110111111110010110111111100111110111111010011110111111000111110111110011111110111101011111110111011111111110111001111111110解:用74LS138译码器实现以上功能时,只有三个输入端,现有四个输入端,所以此题需要扩展。依题意用两片74LS138译码器实现的电路图如图解3.13所示。图解3.133.14用74LS138译码器设计一个全加器。解:根据全加器真值表可得逻辑图如下:图解3.143.15在某项比赛中,有A,B,C三名裁判。其中A为主裁判,当两名(必须包括A在内)或两名以上裁判认为运动员合格后发出得分信号。试用四选一数据选择器设计此逻辑电路。48 解:(1)列出真值表。设合格为1,不合格为0;A,B,C为输入变量,F为输出变量,得真值表。ABCF00000010010001101000101111011111(2)确定地址输入。(3)写出F的表达式。(4)确定,把F的表达式与四选一数据选择器的功能表达式Y相比较,并取D1=D0=O,D2=C,D3=1,则有Y=F。图解3.153.16试用74HC151实现逻辑函数:解:直接用卡诺图找最小项并画出逻辑图如图解3.16所示。图解3.163.17试用数据选择器产生01101001序列。48 解:如图解3.17所示。图解3.173.18采用4位加法器完成8421码到余3码的转换。解:只需将8421码加上0011即可,如图解3.18所示。图解3.183.19判断下列函数组成的电路是否存在竞争冒险?(1)(2)解:(1)代数法:无论A、B、C、D如何变,不存在或关系,所以无险象。卡诺图法:两卡诺圈相交,无险象。图解3.19(a)48 (2)代数法:当时,存在,有可能产生1型冒险。卡诺图法:卡诺圈相切,在D发生变化时有可能产生1型冒险。图解3.19(b)第4章习题答案4.1下降沿触发的JK触发器连接方式如图题4.1所示,请画出相应的Q端波形。设触发器的初始状态为0。图题4.1解:Q端波形如图解4.1所示。图解4.14.2由上升沿触发的D触发器连成的电路如图题4.2所示,请问和端的波形图是否正确?48 图题4.2解:正确。由于,,所以当为0时,被直接清零;当为0时,被直接清零。4.3上升沿触发的D触发器连接方式如图题4.3所示,请画出最后一片异或门输出端F波形。设触发器的初始状态为0。图题4.3解:输出端F波形如图解4.3所示。图解4.34.4下降沿触发的JK触发器连接方式如图题4.4所示,请画出相应的Q端波形。设触发器的初始状态为0。48 图题4.4解:输出波形如图解4.4所示。解4.44.5下降沿触发的JK触发器连接方式如图题4.5所示,请画出相应的和端波形。设触发器的初始状态为0。图题4.5解:输出波形如图解4.5所示。图解4.54.6JK触发器组成图题4.6所示电路。试画出Q端的波形。图题4.6解:输出波形如图解4.6所示。48 图解4.64.7同步RS触发器的逻辑符号和输入波形如图题4.7所示。设初始Q=0。画出Q,端的波形。图题4.7解:输出波形如图解4.7所示。图解4.74.8下降沿触发的D触发器连接方式如图题4.8所示,请画出、端波形。设触发器的初始状态为0。图题4.8解:输出波形如图解4.8所示。48 图解4.84.9上升沿触发的D触发器连接方式如图题4.9所示,请画出、、端波形。设触发器的初始状态为0。图题4.9解:输出波形如图解4.9所示。图解4.94.10下降沿触发的JK触发器连接方式如图题4.10所示,请画出、端波形。设触发器的初始状态为0。48 图题4.10解:输出波形如图解4.10所示。图解4.104.11下降沿触发的主从RS触发器输入信号的波形如图题4.11所示。已知初始Q=0,试画出Q端波形。图题4.11解:输出波形如图解4.11所示。图解4.114.12下降沿触发的主从RS触发器输入信号的波形如图题4.12所示。已知初始Q=0,试画出Q端波形。图题4.1248 解:输出波形如图解4.12所示。图解4.124.13下降沿触发的边沿JK触发器的输入波形如图题4.13所示。已知初始Q=0,试画出Q端的波形。图题4.13解:输出波形如图解4.13所示。图解4.134.14上升沿触发的边沿JK触发器的输入波形如图题4.14所示。已知初始Q=0,试画出Q端的波形。图题4.14解:输出波形如图解4.14所示。48 图解4.144.15上升沿触发的边沿D触发器的输入波形如图题4.15所示。已知初始状态Q=0,试画出Q端的波形。图题4.15解:输出波形如图解4.15所示。图解4.154.16下降沿触发的边沿D触发器的输入波形如图题4.16所示。已知初始状态Q=0,试画出Q端的波形。图题4.16解:输出波形如图解4.16所示。图解4.164.17什么是“空翻”现象?48 解:同步触发器在CP=1时,R和S信号始终可以送入,当R或S信号在CP=1时发生翻转,使得R和S信号不是在CP信号的控制下有序的送入触发器,就是典型的“空翻”现象。第5章习题及答案5.1试分析图题5.1所示电路的逻辑功能。设各触发器初始状态为0。图题5.1解:(1)列方程:(2)列状态表:0010010010011110(3)画状态图:00—>10—>01—>00(4)结论:该电路为三进制减法计数器。5.2试分析图题5.2所示电路的逻辑功能。设各触发器初始状态为0。图题5.2解:(1)列方程:K=148 (2)列状态表:0010010010011101(3)画状态图:00—>10—>01—>00(4)结论:该电路为三进制减法计数器。5.3试分析图题5.3所示电路,列状态表。设各触发器初始状态为0。图题5.3解:(1)列方程:(2)列状态表:00011001100100101100100111010011001111105.4试分析图题5.4所示电路,列状态表。设各触发器初始状态为0。48 图题5.4解:(1)列方程:(2)列状态表:00010110100111005.5试分析图题5.5所示电路,列状态表。设各触发器初始状态为0。图题5.5解:(1)列方程:(2)列状态表:000101101010110148 5.6试分析图题5.6所示电路是如何实现移位的。设各触发器初始状态为0。图题5.6解:第n片触发器输出端Q与第n+1片触发器数据输入端D相连接。当时钟到时,加至串行输入端的数据送,同时的数据右移至,的数据右移至,以此类推。将数码1001右移串行输入给寄存器共需要4个移位脉冲。5.7试用74LS393连成八进制计数器。图题5.7解:74LS393连八进制计数器如图解5.7所示。图解5.75.8试分析图题5.8所示电路的逻辑功能。设各触发器初始状态为0。图题5.848 解:(1)方程式:(2)状态表:000110001000010001011010100010101100110101111110(3)结论:具有自启动能力的六进制计数器。5.9试分析图题5.9所示电路的逻辑功能。设各触发器初始状态为0。图题5.9解:(1)方程式:(2)状态表:0001011010111100(3)结论:四进制加法计数器。5.10什么是单拍工作方式的寄存器?什么是双拍工作方式的寄存器?解:单拍工作方式的寄存器接受数码时要一个控制脉冲,双拍工作方式的寄存器接受数码时要两个控制脉冲。48 5.11试把图题5.11转换成3位二进制减法计数器。图题5.11解:可采用下降沿触发,如图解5.11所示。图解5.115.12试把图题5.11转换成4位二进制加法计数器。解:再加一级即可,如图解5.12所示图解5.125.13试用74LS393连成十进制计数器。解:如图解5.13所示48 图解5.135.14将74LS190连成九进制计数器。解:如图解5.14所示。图解5.145.15试分析图题5.15实现的是几进制的计数器。图题5.15解:四十二进制计数器。5.16试分析图题5.16实现的是几进制的计数器。图题5.16解:三十五进制计数器。5.17试分析图题5.17实现的是几进制的计数器。48 图题5.17解:十二进制计数器。5.18试用74LS190按照同步扩展方式实现88进制的计数器。解:如图解5.18所示图解5.18第6章习题及答案6.1图6.2所示单稳态触发器对输入脉冲有什么要求?如果输入信号不符合要求将对电路产生怎样的影响?解:要求输入触发脉冲宽度小于单稳态触发器输出脉宽tw。如果触发脉冲宽度大于输出脉宽,会使输出脉冲下降沿变缓,波形质量下降。6.2请分析图题6.2所示CMOS电路的功能,并说明其工作原理。图题6.2解:电路为CMOS积分型单稳态触发器。工作原理:稳态时,uI为高电平,G1、G2均导通,则uO1、uA、uO均为低电平。触发脉冲到来即uI为低电平时,G1截止,uO1随之跳变到高电平。由于电容电压不能突变,uA仍为低电平,所以G2截止,uO跳变到高电平。在G1、G2截止时,电容C通过电阻R和G1的导通管放电,则使uA逐渐上升。当uA上升到UTH时,若uI仍为低电平,G2导通,uO变为低电平。当uI回到高电平后,G1导通,C又通过R和G1的导通管充电,电路回复到稳定状态。这种电路要求触发负脉冲的宽度应大于输出脉冲的宽度tw。48 6.3集成单稳态触发器可分为哪两类,各有何特点?解:可以分为不可重复触发单稳态触发器和可重复触发单稳态触发器。不可重复触发单稳态触发器在进入暂稳态期间,即使再次受到触发脉冲的作用,也不会影响电路既定的暂稳态过程,输出的脉冲宽度仅由R、C参数确定;而可重复触发单稳态触发器在进入暂稳态时,若再加入触发脉冲,单稳态触发器将重新被触发,暂稳态将以最后一个脉冲触发沿为起点,再延长一个脉冲宽度,即tw时间后,电路才会回到稳态,所以可重复触发单稳态触发器的输出脉宽可根据触发脉冲输入情况的不同而改变。6.5请说明图题6.5电路的功能,并分析其工作原理。图题6.5解:电路是由施密特触发器构成的多谐振荡器。工作原理:接通电源瞬间,电容C上的电压为0V,输出为高电平。而后输出通过电阻对电容充电,当输入上升到施密特触发器正向阈值电压,施密特触发器发生翻转,输出跳变为低电平,则电容又开始放电,输入电压下降,下降到负向阈值电压时电路又发生翻转,如此形成循环振荡,从而构成多谐振荡器。6.5555定时器有哪几部分构成,各部分功能是什么?解:555定时器主要由电阻分压器;电压比较器C1C2;基本RS触发器;放电管T四个部构成。电阻分压器包括三个5kΩ电阻,对电源UCC分压后,确定比较器C1、C2的参考电压。如果5脚外接控制电压UIC,则比较器C1、C2的参考电压分别为UIC和,比较器C1、C2的输出作为基本RS触发器的触发信号。6.6由555定时器构成的单稳态触发器如图6.23所示,如要改变由555定时器组成的单稳态触发器的脉宽,可以采取哪些方法?若UCC=12V,R=10kΩ,C=0.1μF,试求脉冲宽度tW=?解:可以改变R和C的值∵ ∴6.7图题6.7所示为555定时器构成的占空比可调的多谐振荡器,请分析其工作原理并求出占空比表达式。48 图题6.7解:由图可知,电路是用二极管D1、D2的单向导电性把电容器C充放电回路分开,并接一电位器RP,利用其调节作用,使该多谐振荡器的占空比可调。图中,电源UCC通过RA、D1向电容C充电,充电时间为t1=0.7RAC,电容C通过R2、D2及555定时器中的放电晶体管T放电,放电时间t2=0.7RBC。∴q(%)=×100%=×100%6.8由555定时器构成的多谐波发生器如图6.25所示,若Ucc=9V,R1=20kΩ,R2=5kΩ,C=220pF,计算电路的振荡周期,频率及占空比。若要不改变振荡频率而要改变脉冲宽度应该怎么办?解:振荡周期T=0.7(R1+2R2)C=4.62μsf==216KHZq==0.833该电路只要改变R1、R2、C中任何一个参数都能改变脉冲宽度。要保持振荡频率不变,那么在改变其中一个参数时要相应调节其他参数。6.9请用555定时器和相应元件构成一个模拟报警发生器,输出波形如图题6.9所示,并画出电路图。图题6.948 解:如图解6.9,两个555定时器均构成多谐振荡器,只要调节元件R1、R2使第一个振荡器的振荡频率为2HZ,再调节R3、R4使第二个振荡器的振荡频率为1KHZ,把第一个低频振荡器的输出端接到第二个高频振荡器的复位端,那么当振荡器I的输出为高电平时,振荡器II就会输出振荡波形,从而使扬声器发声;反之,振荡器II就停止振荡。所以,最终扬声器会根据频率发出间隙声响,可以构成一个模拟报警声响发生器。图解6.96.10请分析图题6.10所示电路的工作原理和功能。图题6.10解:该电路是由555集成定时器构成的单稳态触发器。在通常情况下,人手没有触摸金属片时,相当于输入为高电平,2脚电位高于,电路输出为稳定状态低电平,发光二极管不亮。当手摸金属片时,则相当于在输入端2脚加了一个低电平触发脉冲,电位低于,使电路进入暂稳态,即输出3变为高电平,发光二极管亮。电源对电容C充电,当略高于时,输出为低电平,电路又回复稳态,发光二极管(LED)会自动熄灭。该触摸开关可用于夜间定时照明,定时时间可由RC参数调节。发光二极管亮的时间为电源对电容C的充电时间,tw=RC㏑3=1.1RC。6.11如图题6.11所示为一防盗报警电路,有一细铜丝放在入侵者必经之处,m、n两端由此铜丝接通。当入侵者闯入时铜丝将被碰断,扬声器即发出报警声。(1)请说明此时555定时器接成基本电路的名称。(2)分析报警电路的工作原理。48 图题6.13解:(1)555定时器接成多谐振荡器。(2)在不需要报警器工作时,可把电源开关S断开。但S合上,报警器开始工作。在日常没有入侵者时,细铜丝将m、n端接通,即555定时器的复位端4脚接地,定时器被直接复位,所以没有信号输出,扬声器不出声。当入侵者闯入会将m、n间铜丝碰断,复位端4脚变为高电平,多谐振荡器开始工作,555定时器3脚输出方波,扬声器将发出报警声。第7章习题及答案7.1简述D/A转换的概念及其基本原理。解:D/A转换就是把数字信号转换成模拟信号,能实现D/A转换的电路就是D/A转换器,简称DAC。D/A转换的基本原理就是将输入的二进制数的每位数码按权的大小产生一个电压(或电流),然后将这些模拟量求和就得到与数字量成正比的模拟量。即输入输出关系为:,式中K为转换比例系数。7.2如果8位D/A转换电路可分辨的最小输出电压为10mV,则输入数字量为10000001时,输出电压有多大?解:可分辨的最小输出电压为则和10000001相对应的输出电压为:7.3已知8位倒T形电阻网络DAC如图题7.3所示,参考电压UREF=-10V,RF=R。(1)当输入为00000001时,输出模拟电压是多少?(2)当输入为11111111时,输出模拟电压是多少?(3)该转换器的分辨率是多少?48 图题7.3解:(1)(2)(3)7.4已知8位倒T形电阻网络DAC如图题7.3所示,RF=R。(1)试求输出电压的范围。(2)当输入的数字量为80H时,对应的模拟输出电压为3V,则参考电压UREF的大小为多少?解:(1)当输入全为0时,输出电压最小。当输入全为1时,输出电压最大。所以输出电压范围为:0~-UREF(2)(80)16=(10000000)2=(128)10∴7.5倒T形电阻网络D/A转换器的特点有哪些?解:倒T形电阻网络D/A转换器主要有以下两个特点:(1)电阻解码网络中只有R和2R两种阻值的电阻,便于集成,精度较高。(2)无论模拟开关打在哪一边,流过2R支路的电流始终存在而且不变,不需要电流建立时间;同时,电阻网络呈倒T形排列,各支路电流直接流入运算放大器的输入端,传输时间一致,因此,倒T形电阻网络D/A转换器具有较高的转换速度。7.6某数字系统中有一个D/A转换器,如果希望该系统D/A转换器的转换误差不大于0.45%,请问至少应选择多少位的D/A转换器才能满足要求?解:依题意,转换误差需要小于0.45%,因此D/A转换器的分辨率也要小于0.4548 %,至少应选8位的D/A转换器。7.7已知输入模拟信号的最大幅值为4.85V,现通过A/D转换器将其转换为数字信号,要求最小能分辨出5mV输入信号的变化,试选择所用A/D转换器的位数。解:,可选用10位A/D转换器。7.8已知输入模拟电压,参考电压,如果选用8位逐次逼近型A/D转换器,对应的数字量输出为多少?如果选用10位的逐次逼近型A/D转换器,对应的数字量输出为多少?解:根据逐次逼近型A/D转换器的工作过程知,是与内部的DAC进行比较,而DAC的输入与最后的数字量输出相关,因此,可以根据找与最接近的数字量来计算输出。由DAC知:若n=8,所对应的则输出的数字量为11111010。若n=10,所对应的则输出的数字量为1111101001。7.98位逐次逼近型A/D转换装置所用时钟频率为2MHz,则完成一次转换所需时间是多少?应选多大采样频率?为保证不失真输出,输入信号的频率最高不应超过多大?解:时钟周期,完成一次转换需要时间:,采样周期,则采样频率即采样频率最高为220KHz。为保证不失真输出,要有,得。输入信号的频率最高不应超过110kHz。7.10已知8位A/D转换器的最大输出电压为10V,现在对输入信号幅值为0.35V的电压进行模数转换,请问可以实现正确转换吗?如果使用的是逐次逼近型转换器,要求完成一次转换的时间小于100μs,应选用多大的时钟频率?解:最小量化单位48 ,与需要转换的输入信号相差不是很大,所以会引起较大误差,不合适,可以选用10位的A/D转换器。完成一次转换时间,所以时钟频率为kHz第8章习题及答案8.1试比较ROM、PROM、EPROM、E2PROM、Flash的异同点。解:ROM出厂时其内部的信息就已经固化了,它在使用时只能读出,不能写入,通常用来存放固定数据。PROM是在固定ROM的基础上发展来的,内部熔丝被熔断后就不能恢复,只能编程一次。EPROM可实现多次编程,采用紫外线擦除方式。E2PROM的存储结构类似于EPROM,采用电擦除方式。Flash存储结构类似于EPROM,但采用单管叠栅结构的存储单元,集成度高,容量大,擦除快捷方便。8.2ROM和RAM的主要区别是什么?它们各使用于哪些场合?解:只读存储器ROM是一种只能读出但不能写入的存储器。即使断电,ROM中存放的数据也不会丢失。故ROM通常用来存放永久性的、不变的数据。随机存取存储器RAM是一种既可以读又可以写的存储器,这种存储器断电后,数据将全部丢失,用于存放一些临时性的数据或中间结果。8.3某型号RAM设置有12位地址线,8位并行数据输入/输出端,试问它的存储容量是多少?。解:存储容量为4K×8位。8.4某台计算机的内存储器设置有32位的地址线、16位并行数据输入/输出端,试计算它的最大存储量是多少?解:存储容量为232×16≈68.7×109=68.7G位8.5有一个容量为1024×8位的RAM,试问它有多少个存储单元?有多少根地址线?有多少根数据线?解:有8192个存储单元,10根地址线,8根数据线。8.6试用ROM实现组合逻辑函数,解:如图解8.6所示。48 图解8.68.7试用八片1024×1位的RAM,扩展成1024×8位的RAM,并画出接线图。解:需要进行位扩展,如图解8.7所示。图解8.78.8试用256×8位的RAM扩展成1024×8位的RAM,并画出接线图。解:需要四片RAM进行字扩展,如图解8.8所示。图解8.848 8.9可编程逻辑器件有哪些种类?试说明PLD器件设计数字系统的优越性在哪里。解:PLD按集成度分为低密度可编程逻辑器件和高密度可编程逻辑器件。按可编程情况分,低密度可编程逻辑器件分成可编程只读存储器(PROM)、可编程逻辑阵列(PLA)、可编程阵列逻辑(PAL)和通用阵列逻辑(GAL)4类。高密度复杂可编程逻辑器件分为现场可编程门阵列(FPGA)和复杂可编程逻辑部件(CPLD)。优越性:PLD器件功能集成度高、开发效率高、系统工作速度快,具有可编程特性和灵活性,用它来设计一个系统的时间远远小于传统设计所需的时间,功耗低,可靠性高。8.10简述低密度可编程逻辑器件的结构与特点。解:如下表所示:器件名与阵列或阵列输出电路编程方式PROM固定可编程固定熔丝PLA可编程可编程固定熔丝PAL可编程固定固定熔丝GAL可编程固定可组态电可檫除PROM实现逻辑函数时利用率很低。PLA可以实现逻辑函数的最简与或表达式,利用率比PROM高。PAL输出电路结构形式多,可借助编程器进行现场编程。GAL输出电路采用逻辑宏单元结构,用户可根据需要自行组态,功能强,使用更灵活。8.11如图题8.11所示为已编程的PLA阵列图,试写出所实现的逻辑函数表达式。图题8.11解:;;;8.12现场有功率为10KW的设备两台A和B,功率为5KW的设备两台C和D,均由Y1、Y2两台发电机组供电,已知Y1的功率为15KW,Y2的功率为20KW。试用PLA设计一个控制电路来驱动这几台设备以节约能源,并画出阵列图。解:依题意得真值表如下:ABCDY1Y200000000011000101000111001001001011001101048 011101100010100110101010101101110001110111111011111111化简后得,阵列图如图解8.12所示。图解8.128.13简述典型CPLD与FPGA的主要异同。解:CPLD沿用了GAL的阵列结构,其编程一般采用在系统编程技术。FPGA是可在现场进行编程的门阵列产品,其编程一般采用在线配置技术。具体特点比较如下表所示:CPLDFPGA集成度高。集成度更高通过修改具有固定内连电路的逻辑功能来编程,无需外部存储器。通过改变内部连线的布线来编程,需要外部存储器。逻辑块级编程,速度快门级编程,速度较慢连续式布线结构,时序延迟可预测分段式布线结构,结构复杂,时序延迟不可预测功耗大,集成度越高越明显。功耗较低。适合完成各种算法和组合逻辑电路,替代象地址译码器、特殊计数器等以前要用很多逻辑电路才能实现的功能。适合于完成时序逻辑电路,如高速相关运算、高速FFT运算、做ASIC的先期验证等。48'